Skip to content

Commit

Permalink
Fix sf.net bug #434
Browse files Browse the repository at this point in the history
Missing indx type in heading list of conversions.
  • Loading branch information
devel-chm committed May 2, 2017
1 parent 70a19a1 commit c324b94
Showing 1 changed file with 1 addition and 1 deletion.
2 changes: 1 addition & 1 deletion Basic/Core/Core.pm
Expand Up @@ -2746,7 +2746,7 @@ sub PDL::convert {
=for ref
byte|short|ushort|long|longlong|float|double (shorthands to convert datatypes)
byte|short|ushort|long|indx|longlong|float|double (shorthands to convert datatypes)
=for usage
Expand Down

0 comments on commit c324b94

Please sign in to comment.