Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

python -m nmigen_boards.<board> should build and program blinky #1

Closed
3 tasks done
whitequark opened this issue Jun 4, 2019 · 1 comment
Closed
3 tasks done

Comments

@whitequark
Copy link
Contributor

whitequark commented Jun 4, 2019

It's very important to have an easily available litmus check for a correctly installed and configured toolchain for any particular board. I propose that any board that is run as __main__ should demonstrate that by running a blinky. (Are there any boards without LEDs and what can we do about it?)

This is currently done and tested for:

  • icestick
  • ice40_hx1k_blink_evn
  • tinyfpga_bx
@whitequark
Copy link
Contributor Author

Done.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Projects
None yet
Development

No branches or pull requests

1 participant