Skip to content

Instantly share code, notes, and snippets.

@HugsLibRecordKeeper
Created January 19, 2019 19:28
Show Gist options
  • Star 0 You must be signed in to star a gist
  • Fork 0 You must be signed in to fork a gist
  • Save HugsLibRecordKeeper/13686efd48bc558169d6f6cd3f0a119d to your computer and use it in GitHub Desktop.
Save HugsLibRecordKeeper/13686efd48bc558169d6f6cd3f0a119d to your computer and use it in GitHub Desktop.
Rimworld output log published using HugsLib
Log uploaded on Saturday, January 19, 2019, 8:28:24 PM
Loaded mods:
ModCheck: 0Harmony(1.2.0.1), ModCheck(1.8.0.0)
Core: (no assemblies)
HugsLib[ov:6.1.1]: 0Harmony(1.2.0.1), HugsLib(av:1.0.0.0,fv:6.1.1)
JecsTools: 0Harmony(1.0.9.1), 0JecsTools(1.0.8.0), AbilityUser(1.18.0.0), AbilityUserAI(1.18.0.0), CompActivatableEffect(1.18.0.0), CompAnimated(1.18.0.0), CompBalloon(1.18.0.0), CompDeflector(1.18.0.0), CompDelayedSpawner(1.18.0.0), CompExtraSounds(1.18.0.0), CompInstalledPart(1.18.0.0), CompLumbering(1.18.0.0), CompOverlays(1.18.0.0), CompOversizedWeapon(1.18.0.0), CompSlotLoadable(1.18.0.0), CompToggleDef(1.18.0.0), CompVehicle(1.17.0.1), PawnShields(1.0.0.0), ThinkNodes(1.0.0.0)
Miscellaneous 'CORE': ColonistKeys(1.0.0.0), CommonMisc(1.0.0.0)
Humanoid Alien Races 2.0: 0Harmony(1.2.0.2), AlienRace(1.0.0.0)
Mod Manager: 0ColourPicker(2.0.0.34093), 0Harmony(1.2.0.1), ModManager(av:1.0.0.0,fv:1.15.748)
Giddy-up! Core[ov:1.1.0]: GiddyUpCore(0.0.0.0)
Giddy-up! Battle Mounts[ov:1.0.2]: Battlemounts(0.0.0.0)
Giddy-up! Caravan[ov:1.1.0]: GiddyUpCaravan(0.0.0.0)
Giddy-up! Ride and Roll[ov:1.0.0]: GiddyUpRideAndRoll(0.0.0.0)
Doors Expanded: 0Harmony(1.0.9.1), DoorsExpanded(1.18.0.0)
[KV] Faction Control - 1.0: 0Harmony(1.2.0.1), FactionControl(1.0.6885.42591)
Faction Discovery: Faction Discovery(1.0.3.0)
Map Reroll: $HugsLibChecker(0.5.0.0), MapReroll(2.4.0.0)
Misc. MapGenerator: MapGenerator(1.0.0.0)
My Little Planet: 0Harmony(1.2.0.1), MyLittlePlanet(1.0.0.0)
[RF] Permafrost [1.0]: Rainbeau's Permafrost(1.0.6889.18550)
[RF] Realistic Planets [1.0]: 0Harmony(1.2.0.1), Rainbeau's Realistic Planets(1.0.6913.20726)
Achtung!: 0Harmony(1.2.0.1), AchtungMod(2.3.2.0)
Allow Tool: $HugsLibChecker(0.5.0.0), AllowTool(av:3.6.0.0,fv:3.6.1)
Animal Variety Coats: AnimalVariations(1.0.6818.33624)
Animals Logic: 0Harmony(1.2.0.1), AnimalsLogic(1.0.6912.6017)
Architect Icons: ArchitectIcons(1.2.0.0)
[XND] AutoOwl: 0Harmony(1.2.0.1), AutoOwl(1.0.2059.1)
Avoid Friendly Fire: $HugsLibChecker(0.5.0.0), AvoidFriendlyFire(1.0.1.0)
Better Pawn Control[ov:1.9.8]: $HugsLibChecker(0.5.0.0), BetterPawnControl(1.9.2.0)
Better Workbench Management: $HugsLibChecker(0.5.0.0), ImprovedWorkbenches(1.0.1.0)
Blueprints: Blueprints(av:2.0.0.0,fv:2.2.39)
Bo's Milkable Animals: (no assemblies)
Camera+: 0Harmony(1.2.0.1), CameraPlus(1.3.3.0)
Carcinoma spreads: (no assemblies)
Combat Readiness Check: 0Harmony(1.2.0.1), CRC_Revivified(1.0.6864.6838)
[KV] Consolidated Traits - 1.0: 0Harmony(1.2.0.1), ConsolidatedTraits(1.0.6911.38270)
Cyber Fauna 1.0: (no assemblies)
[1.0] DE Surgeries: (no assemblies)
Death Rattle: DeathRattle(18.10.30.0)
Deconstruct Return Fix: 0Harmony(1.0.9.1), Deconstruct Return Fix(1.0.0.0)
Deep Ore Identifier: 0Harmony(1.2.0.1), DeepOreIdentifier(1.0.2059.1)
Defensive Positions: $HugsLibChecker(0.5.0.0), DefensivePositions(1.7.0.0)
Designator Shapes: 0Harmony(1.0.9.1), Merthsoft.DesignatorShapes(1.0.0.0)
Dual Wield: $HugsLibChecker(0.5.0.0), DualWield(1.0.0.0)
Dubs Mint Menus: 0Harmony(1.2.0.1), DubsMintMenus(1.0.6918.10007)
EdB Prepare Carefully: 0Harmony(1.1.0.0), EdBPrepareCarefully(1.0.10.0)
[RF] Editable Backstories and Names [1.0]: 0Harmony(1.2.0.1), Rainbeau's Editable Backstories(1.0.6859.36995)
Expanded Incidents: $HugsLibChecker(0.5.0.0), ExpandedIncidents(18.10.30.0)
Facial Stuff 1.0: 0Harmony(1.2.0.1), FacialStuff(1.0.0.0), _harmonycheck(1.0.0.0)
AlienFaces: 0Harmony(1.2.0.1), AlienFaces(1.0.0.0)
[WD] Fast Moisture Pumps 1.0: (no assemblies)
Fluffy Breakdowns: 0Harmony(1.2.0.1), Fluffy_Breakdowns(av:3.0.0.0,fv:3.2.46)
Follow Me: FollowMe!(av:3.0.0.0,fv:3.2.47)
[XND] Forbiddable Debris: (no assemblies)
FrameRateControl: FrameRateControl(1.0.6922.39298)
Fuel Economy: 0Harmony(1.2.0.1), Fuel_Economy(1.0.0.0)
Gear Up And Go: 0Harmony(1.2.0.1), GearUpAndGo(1.0.0.0)
Grenade Fix: Rearmed: Grenade Fix Rearmed(1.0.2059.1)
Hardworking animals 1.0: (no assemblies)
Harvest Everything!: (no assemblies)
Harvest Organs Post Mortem - 4.1 [1.0]: Autopsy(4.1.1.0)
Harvest Sync: 0Harmony(1.2.0.1), HarvestSync(1.0.0.0)
[SYR] Harvest Yield Patch: 0Harmony(1.2.0.1), HarvestYieldPatch(1.0.0.0)
Haul to Stack: $HugsLibChecker(0.5.0.0), 0Harmony(1.2.0.2), HaulToStack(1.0.0.0)
[KV] Improved Load Transport Pods: LoadTransportPod(1.0.0.0)
Incident Person Stat: 0Harmony(1.2.0.1), IncidentPersonStat(1.0.0.0)
Infused: 0Harmony(1.2.0.1), Infused(35.0.0.0)
In-wall coolers and vents [1.0]: InwallCoolersAndVents(1.0.6826.40526)
Just Ignore Me Passing: 0Harmony(1.2.0.1), JustIgnoreMePassing(1.1.3.0)
[KV] Keep Hands & Feet - 1.0: 0Harmony(1.2.0.1), KeepHandsFeet(1.0.0.0)
Less Arbitrary Surgery: LessArbitrarySurgery(18.10.30.0)
Locks: 0Harmony(1.0.9.1), Locks(1.0.0.0)
Locks (DoorsExpanded): 0Harmony(1.2.0.1), LocksDoorsExpanded(1.0.0.0)
Meals On Wheels: 0Harmony(1.2.0.1), Meals_On_Wheels(1.0.0.0)
[XND] Memorable Auroras: LastingAurora(1.0.0.0)
MendAndRecycle: MendAndRecycle(1.0.6913.27770)
[CP] Metal Gear Solid - CQC Takedown (1.0): 0Harmony(1.0.9.1), CPAbilityUser(1.18.0.0), CPAbilityUserAI(1.18.0.0), CQCTakedown(0.0.0.0)
Miniaturisation: (no assemblies)
More Harvest Designators!: MoreHarvestDesignators(1.0.6864.29280)
More Planning: $HugsLibChecker(0.5.0.0), MorePlanning(5.0.0.0)
[KV] More Trait Slots - 1.0: 0Harmony(1.2.0.1), More Trait Slots(1.0.6902.37574)
Numbers: 0Harmony(1.2.0.1), Numbers(av:1.0.0.0,fv:1.0.0.2)
OgreStack: OgreStack(1.0.0.0)
[RF] Packed Lunches [1.0]: (no assemblies)
[KV] Path Avoid - 1.0: 0Harmony(1.2.0.1), PathAvoid(1.0.0.0)
[RF] Pawns are Capable! [1.0]: 0Harmony(1.2.0.1), Pawns are Capable!(1.0.6916.16774)
Pick Up And Haul: 0Harmony(1.2.0.1), PickUpAndHaul(av:0.1.0.5,fv:0.1.0.726)
Power Indicators: 0Harmony(1.2.0.2), ShowMeThePower(1.0.6864.32434)
PowerSwitch: PowerSwitch(1.0.0.0)
Prepare Landing[ov:0.9.4]: PrepareLanding(0.9.4.0)
[XND] Proper Shotguns: 0Harmony(1.2.0.1), ProperShotguns(1.1.0.0)
Psychology: $HugsLibChecker(0.5.0.0), Psychology(18.11.18.0)
QualityBuilder: 0Harmony(1.2.0.1), QualityBuilder(1.0.7.0)
Quality Cooldown: (no assemblies)
[FSF] Rain Washes Away Filth: (no assemblies)
[XND] Ranged Stagger Rebalanced: 0Harmony(1.2.0.1), RangedStaggerRebalanced(1.1.0.0)
Realistic Darkness 1.0: (no assemblies)
Realistic Rooms: (no assemblies)
Reasonable Components V1: (no assemblies)
Replace Stuff: 0Harmony(1.2.0.1), Replace_Stuff(1.0.0.0)
Research Tree: 0Harmony(1.2.0.1), ResearchTree(av:3.0.0.0,fv:3.3.491)
Reverse Commands: 0Harmony(1.2.0.1), ReverseCommands(1.0.8.0)
Reworked Temperature Extreme Events [1.0]: ReworkedTemperatureEvents(1.0.0.0)
RimHUD: 0Harmony(1.2.0.1), RimHUD(1.3.2.0)
RIMMSqol: 0Harmony(1.2.0.1), Priority Queue(4.1.1.0), RIMMSqol(1.0.6951.22930)
Rimsenal - Storyteller pack: (no assemblies)
Rimworld Search Agency: 0Harmony(1.2.0.1), RSA.Core(0.1.0.0), RSA(2.3.0.0), _harmonycheck(1.0.0.0)
[1.0] RPG Style Inventory: Sandy_Detailed_RPG_Inventory(1.0.6932.39793)
RunAndGun[ov:1.1.2]: RunAndGun(1.18.3.0)
Run and Hide: RunandHide(18.10.30.0)
RuntimeGC: RuntimeGC(20.0.15.1)
SafeInside: SafeInside(1.0.6894.29955)
Safe Pause: 0Harmony(1.2.0.1), SafePause(av:1.0.0.0,fv:1.0.48.1)
Save Our Ship (Reloaded): $HugsLibChecker(0.5.0.0), ShipsHaveInsides(1.0.0.0)
[RF] Scenarios [1.0]: 0Harmony(1.2.0.1), Rainbeau's Scenarios(1.0.6890.15682)
Search and Destroy: $HugsLibChecker(0.5.0.0), SearchAndDestroy(1.0.0.0)
[SYR] Set Up Camp: 0Harmony(1.2.0.1), SetUpCamp(1.0.0.0)
SF Grim Reality 1.0: (no assemblies)
SF Materials Rebalanced: More Steel from Slag(1.0.6884.31212)
Share The Load: 0Harmony(1.2.0.1), Share_The_Load(1.0.0.0)
Shear Those Corpses Vanilla: (no assemblies)
Shear Those Corpses ACP: (no assemblies)
Shoo!: 0Harmony(1.1.0.0), Shoo!(1.0.0.0)
ShowModDesignators: ShowModDesignators(1.0.0.0)
Simple sidearms: SimpleSidearms(1.0.0.0)
Simple Stockpile Presets: 0Harmony(1.1.0.0), SimpleStockpilePresets(1.0.0.0)
Skilled Stonecutting: (no assemblies)
Smart Medicine: 0Harmony(1.2.0.1), SmartMedicine(1.0.0.0)
Snap Out!: 1SettingsHelper(1.0.0.0), SnapOut(1.0.0.0)
Snow Clearance Sanity: 0Harmony(1.2.0.1), SnowClearanceSanity(1.0.6864.6722)
Sometimes Raids Go Wrong: SometimesRaidsGoWrong(1.0.6900.26278)
Static Quality Plus 1.1: static_quality_plus(1.1.0.0)
Stronger Quality Scaling (1.0): (no assemblies)
[XND] Stuffed Flaks: (no assemblies)
Stuffed Floors: 0Harmony(1.2.0.1), StuffedFloors(1.1.59.0), _harmonycheck(1.0.0.0)
Suppression: SuppressionMod(1.0.0.0)
[XND] Targeting Modes: 0Harmony(1.2.0.1), 1SettingsHelper(0.19.0.28153), TargetingModes(1.1.5.0)
Tech Advancing: 0Harmony(1.0.9.1), TechAdvancing(1.0.0.0)
[1.0] Terrain Zone Selections[ov:0.19.0]: 0Harmony(1.2.0.1), GrowZoneSelect(1.0.0.0)
The Birds and the Bees: 0Harmony(1.2.0.1), Fluffy_BirdsAndBees(av:2.0.0.0,fv:2.3.47)
Therapy: $HugsLibChecker(0.5.0.0), Therapy(1.0.2.0)
[KV] Trading Spot - 1.0: TradingSpot(1.0.0.0)
Turn It On and Off v1.3 [1.0]: $HugsLibChecker(0.5.0.0), TurnItOnandOff(1.0.0.0)
[XND] Turret Extensions: 0Harmony(1.2.0.1), TurretExtensions(1.2.5.0)
[XND] TE Turret Expansion: (no assemblies)
[RF] Tribal Pawn Names [1.0]: 0Harmony(1.2.0.1), Rainbeau's Tribal Pawn Names(1.0.6861.20516)
Ugh You Got Me: 0Harmony(1.2.0.1), UghYouGotMe(1.0.6864.6526)
Use Bedrolls: 0Harmony(1.2.0.1), UseBedrolls(1.0.0.0)
Vanilla Animals Overhaul Reloaded: (no assemblies)
Various Space Ship Chunk: 0Harmony(1.2.0.1), ShipChunkTranspiler(0.1.1.0)
[XND] Visible Pants: 0Harmony(1.2.0.1), VisiblePants(2.1.0.0)
[XND] Watermill Tweaks: 0Harmony(1.2.0.1), WatermillTweaks(1.2.1.0)
WeaponStats: WeaponsTab(1.0.6894.30066)
Weapon Tech: (no assemblies)
Weapon Tech - Turret Extensions Patch: (no assemblies)
What the hack?![ov:1.1.4]: $HugsLibChecker(0.5.0.0), WhatTheHack(1.0.0.0)
Giddy-up! Mechanoids: $HugsLibChecker(0.5.0.0), GiddyUpMechanoids(1.0.0.0)
While You're Up [1.0]: WhileYoureUp(1.0.0.0)
[1.0] Wild Animal Sex V4.1: WildAnimalSex(1.0.0.0)
[RF] Wild Cultivation [1.0]: 0Harmony(1.2.0.1), Rainbeau's Wild Cultivation(1.0.6871.35676)
WM Smarter food selection: 0Harmony(1.2.0.1), WM Smarter Food Selection(0.0.0.0)
Animal Tab: 0Harmony(1.2.0.1), AnimalTab(av:3.0.0.0,fv:3.4.123)
Colony Manager: 0Harmony(1.2.0.1), Fluffy_ColonyManager(av:4.0.0.0,fv:4.4.420)
Medical Tab: 0Harmony(1.2.0.1), DynamicPawnTable(1.0.0.0), MedicalInfo(av:2.0.0.0,fv:2.3.68)
Pharmacist: 0Harmony(1.2.0.1), Pharmacist(av:2.0.0.0,fv:2.3.133)
Relations Tab: Fluffy_Relations(av:2.0.0.0,fv:2.3.68)
Work Tab: 0Harmony(1.2.0.1), FluffyUI(1.0.0.32865), WorkTab(av:3.0.0.0,fv:3.8.283)
Auto Seller: 0Harmony(1.2.0.1), RWASFilterLib(1.0.0.0), RWASWidgets(1.0.0.0), RWAutoSell(2.0.2.0)
Dismiss Trader: 0Harmony(1.2.0.1), Dismiss_Trader(0.1.1.0)
Let's Trade! [1.0]: (no assemblies)
The Rock Trade [1.0]: (no assemblies)
More Trade Ships: (no assemblies)
[XND] Profitable Weapons: 0Harmony(1.2.0.1), ProfitableWeapons(1.2.6.0)
Supply and Demand: 0Harmony(1.2.0.1), SupplyAndDemand(1.0.0.0)
Hospitality: $HugsLibChecker(0.5.0.0), Hospitality(1.0.12.0)
PrisonerRansom: 0Harmony(1.1.0.0), PrisonerRansom(1.0.6744.33716)
More Faction Interaction: 0Harmony(1.2.0.1), MoreFactionInteraction(av:0.1.0.8,fv:0.1.0.9)
Outfitted: 0Harmony(1.2.0.1), Outfitted(1.0.6945.40670)
RimQuest: 0Harmony(1.0.9.1), RimQuest(0.0.0.0)
RimWriter - Books, Scrolls, Tablets, and Libraries: 0Harmony(1.0.9.1), RimWriter(1.1.0.7)
Rimsenal - Federation: (no assemblies)
Rimsenal - Feral: (no assemblies)
Call of Cthulhu - Factions: 0Harmony(1.0.9.0), CthulhuFactions(1.18.0.0), GraphicApparelDetour(1.19.0.0), _jecsToolsChecker(1.17.0.1)
Mechanoids Extraordinaire: (no assemblies)
MiningCo. Spaceship: MiningCo. Spaceship(1.0.0.0)
More Mechanoids: MoreMechanoids(1.0.1.0)
[RH] Faction: Militaires Sans Frontieres (1.0): 0Harmony(1.0.9.1), AnestheticGunMod_Bullets(0.0.0.0), AnestheticGunMod_HediffGiver(0.0.0.0), CPBackpacks(1.0.0.0), GraphicApparelDetour(1.19.0.0)
[RH] Faction: The Ghosts (1.0): 0Harmony(1.0.9.1), CPBackpacks(1.0.0.0), GraphicApparelDetour(1.19.0.0)
Base Robots: 0Harmony(1.2.0.1), BaseRobot(0.0.0.0)
Cleaning Bot: (no assemblies)
Hauling Bot: (no assemblies)
Rimsenal - Rimhair: (no assemblies)
Spoons Hair Mod: (no assemblies)
[CP] Chicken Mitchell - Facial Stuff Version (1.0): (no assemblies)
Xeva's Rimhair: (no assemblies)
Alpha Animals: 0Harmony(1.2.0.1), AlphaAnimalRangeUnlocker(1.0.6862.18063), AlphaBehavioursAndEvents(1.0.0.0), AnimalVariations(1.0.6818.33624), ModCheck(1.8.0.0), NewAlphaAnimalSubproducts(1.0.0.0)
AnimalCollabProj: AnimalVariations(1.0.6818.33624)
Spidercamp's Dog Pack (1.0): (no assemblies)
[RF] Advanced Bridges [1.0]: Rainbeau's Advanced Bridges(1.0.6889.23495)
[sd] advanced powergeneration: sd_adv_powergen(1.0.6938.22941)
Storage Solutions: (no assemblies)
Advanced Transport Pods: (no assemblies)
Ancient_Structures: (no assemblies)
Apparello 2: (no assemblies)
Call of Cthulhu - Cosmic Horrors: 0Harmony(1.0.9.1), CosmicHorror(1.17.0.4)
Call of Cthulhu - Cults: 0Harmony(1.0.9.1), CultOfCthulhu(1.18.0.3)
Call of Cthulhu - Straitjackets: 0Harmony(1.0.9.0), StraitJacket(1.18.0.0)
Centralized Climate Control: $HugsLibChecker(0.5.0.0), CentralizedClimateControl(1.5.0.0)
[1.0] Combat Shields: 0Harmony(1.2.0.1), Shields(0.6.0.0)
[RF] Concrete [1.0]: 0Harmony(1.2.0.1), RFF Concrete(1.0.6871.34943)
[KV] Change Dresser - 1.0: 0Harmony(1.2.0.1), ChangeDresser(1.0.0.0), MendingChangeDresserPatch(1.0.0.0)
Dubs Rimkit: 0Harmony(1.2.0.1), Dubs Rimkit(1.4.6864.29160)
Dubs Skylights: 0Harmony(1.2.0.1), Dubs Skylight(1.4.6864.29213)
[KV] Infinite Storage - 1.0: 0Harmony(1.2.0.1), InfiniteStorage(1.0.0.0)
[KV] Weapon Storage - 1.0: 0Harmony(1.2.0.1), MendingWeaponStoragePatch(1.0.0.0), WeaponStorage(1.0.0.0)
[1.0] Defenses Expanded: (no assemblies)
[SYR] Doormats: SyrDoorMats(1.0.0.0)
Dubs Bad Hygiene: 0Harmony(1.2.0.1), BadHygiene(2.4.6953.34813)
Expanded Prosthetics and Organ Engineering: (no assemblies)
EPOE Replacement Expansion: (no assemblies)
Expanded Woodworking: Expanded Woodworking(1.0.1.0)
FashionRIMsta: (no assemblies)
[RF] Fertile Fields [1.0]: 0Harmony(1.2.0.1), Rainbeau's Fertile Fields(1.0.6910.32487)
Fertile Fields Dub's Hygiene Compat: (no assemblies)
FishIndustry: FishIndustry(1.0.0.0)
Furnace: (no assemblies)
[XND] Genetically Engineered Plants: (no assemblies)
Genetic Rim: 0Harmony(1.2.0.1), AnimalRangeUnlocker(1.0.6862.17033), DraftingPatcher(1.0.0.0), ExplosionTypes(1.0.0.0), ModCheck(1.8.0.0), NewAnimalSubproducts(1.0.0.0), NewHatcher(1.0.0.0), NewMachinery(1.0.0.0)
GeneticRim Cosmic Horrors Patch: (no assemblies)
Halloween Special Edition: (no assemblies)
[KV] Hand 'n' Footwear - 1.0: (no assemblies)
Industrial Age - Objects and Furniture: 0Harmony(1.0.9.1), IndustrialAgeObjects(1.1.0.7)
Industrial Rollers: RimWorldIndustrialRollers(2.4.3.0)
LED Lights: (no assemblies)
Mass Graves: 0Harmony(1.2.0.1), MassGraves(1.0.0.0)
MiningCo. Projector: MiningCo. Projector(1.0.0.0)
Misc. Training: TrainingFacility(1.0.0.0)
Misc. TurretBase, Objects: Miscellaneous(1.0.0.0), WeaponBase(1.0.5.0)
More Furniture [1.0]: (no assemblies)
More Linkables: (no assemblies)
More Vanilla Turrets [1.0]: (no assemblies)
More Vanilla Turrets - Turret Extensions Patch: (no assemblies)
[1.0] Palisades: (no assemblies)
Pawns Paint! Restored: (no assemblies)
Power Logic: 0Harmony(1.2.0.1), PowerLogic(1.8.0.1)
Quarry 1.0: Quarry(0.19.0.0)
[CP] Red Horse Furniture (1.0): 0Harmony(1.0.9.1), GraphicApparelDetour(1.19.0.0), RedHorse(0.0.0.0), ReinforcementCall(1.0.0.0)
Remote Tech: $HugsLibChecker(0.5.0.0), RemoteTech(av:2.1.0.0,fv:2.1.1)
Rimatomics: 0Harmony(1.2.0.1), Rimatomics(1.6.6955.36568)
RimBees: RimBees(1.0.0.0)
Rimefeller: 0Harmony(1.2.0.1), Rimefeller(1.1.6905.29574)
[KV] RimFridge - 1.0: 0Harmony(1.2.0.1), RimFridge(1.0.0.0)
RIMkea: (no assemblies)
Rimlaser: 0Harmony(1.2.0.0), Rimlaser(1.0.345.849)
[CP] Rimmu-Nation - Clothing (1.0): 0Harmony(1.0.9.1), CPBackpacks(1.0.0.0), GraphicApparelDetour(1.19.0.0)
[CP] Rimmu-Nation - Weapons (1.0): 0Harmony(1.0.9.1), CPWeaponExt(1.0.0.0), RNPortalTurret(1.0.0.0)
Rim of Madness - Arachnophobia: 0Harmony(1.0.9.1), Arachnophobia(1.18.0.0)
Rim of Madness - Bones: 0Harmony(1.0.9.1), BoneMod(1.0.0.0)
Rimsenal: (no assemblies)
Rimsenal - Enhanced Vanilla Pack: (no assemblies)
Rimsenal - Security pack: (no assemblies)
Rimsenal Security - Turret Extensions Patch: (no assemblies)
Roof Support 1.0: (no assemblies)
RT Fuse: 0Harmony(1.2.0.1), RT_Fuse(1.0.0.0)
RT Solar Flare Shield: 0Harmony(1.2.0.1), RT_SolarFlareShield(1.0.0.0)
SS Bigger Batteries: (no assemblies)
SS Battery Fuse: (no assemblies)
Shield Generators by Frontier Developments: 0Harmony(1.2.0.1), FrontierDevelopments-Shields(1.1.3.2096)
Shields: 0Harmony(1.2.0.1), Shields(0.6.0.0)
Tables+: (no assemblies)
Toxic Fallout Protection Suit: (no assemblies)
VGP Vegetable Garden: ModFinder(1.0.0.0)
VGP Garden Canning: Canning(1.0.0.0), ModFinder(1.0.0.0)
VGP Garden Drinks: Drinks(1.0.0.0)
VGP Garden Fabrics: ModFinder(1.0.0.0)
VGP Garden Gourmet: ModFinder(1.0.0.0)
VGP Garden Medicine: ModFinder(1.0.0.0)
VGP Garden Resources: (no assemblies)
VGP Garden Tools: VGTools(1.0.0.0)
VGP More Veggies: ModFinder(1.0.0.0)
VGP Xtra Trees and Flowers: (no assemblies)
Cannibal Meals (VGP required): (no assemblies)
Cosmic Horrors VGP Meal Patch: (no assemblies)
Expanded Woodworking for Vegetable Garden Project: Expanded Woodworking VG(1.0.1.0)
FF_VG_canned_delights: (no assemblies)
Smokeleaf Industry: 0Harmony(1.2.0.1), ModCheck(1.8.0.0), SmokeleafIndustry(1.0.0.0)
[1.0] Apparel Organizer: (no assemblies)
[XND] Survival Tools: 0Harmony(1.2.0.1), 1SettingsHelper(0.19.1.36477), SurvivalTools(1.1.3.0)
Twi'lek Race: (no assemblies)
Zabrak Race: (no assemblies)
Active Harmony patches:
<>c__DisplayClass3_0.<MakeNewToils>b__0: TRANS: SurvivalTools.HarmonyPatches.Transpile_JobDriver_Maintenance_MakeNewToils
<CompGetGizmosExtra>c__Iterator0.<>m__0: TRANS: WhatTheHack.Harmony.CompLongRangeMineralScanner_CompGetGizmosExtra.Transpiler
<ConfigErrors>c__Iterator0.MoveNext: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
<ConfigErrors>c__Iterator0.MoveNext: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
<ConfigErrors>c__Iterator0.MoveNext: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
<DoDebugOptions>c__AnonStoreyA.<>m__0: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
<DoDebugOptions>c__AnonStoreyA.<>m__1: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
<DoDebugOptions>c__AnonStoreyA.<>m__2: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
<DoDebugOptions>c__AnonStoreyA.<>m__8: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
<DoDebugOptions>c__AnonStoreyA.<>m__9: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
<DoDebugOptions>c__AnonStoreyA.<>m__E: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
<EnsureRequiredEnemies>c__AnonStorey1.<>m__1: post: AlienRace.HarmonyPatches.EnsureRequiredEnemiesPostfix
<FindPatientBedFor>c__AnonStorey3.<>m__0: TRANS: AnimalsLogic.YouSleepHere+RestUtility_FindPatientBedFor_Patch.Transpiler
<FlavorfulCombatTest>c__AnonStorey5.<>m__0: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
<GetNotMissingParts>c__Iterator5.MoveNext: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
<GetPartsToApplyOn>c__Iterator0.MoveNext: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
<GetPartsToApplyOn>c__Iterator0.MoveNext: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
<GetPartsToApplyOn>c__Iterator0.MoveNext: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
<GetPartsToApplyOn>c__Iterator0.MoveNext: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
<GizmoOnGUI>c__AnonStorey0.<>m__0: TRANS: TurretExtensions.HarmonyPatches.TranspileGizmoOnGUIDelegate
<JumpToCarryToNextContainerIfPossible>c__AnonStoreyD.<>m__0: TRANS: Replace_Stuff.OverMineable.DeliverUnderRock.Transpiler
<MakeNewToils>c__AnonStorey1.<>m__0: TRANS: SmartMedicine.PriorityCareJobFail.Transpiler
<MakeNewToils>c__AnonStorey1.<>m__0: TRANS: SurvivalTools.HarmonyPatches.Transpile_JobDriver_Mine_MakeNewToils
<MakeNewToils>c__AnonStorey1.<>m__1: TRANS: HarvestYieldPatch.HarvestYieldPatch.Transpiler, SurvivalTools.HarmonyPatches.Transpile_JobDriver_PlantWork_MakeNewToils
<MakeNewToils>c__AnonStorey1.<>m__1: TRANS: SurvivalTools.HarmonyPatches.Transpile_JobDriver_AffectRoof_MakeNewToils
<MakeNewToils>c__AnonStorey1.<>m__1: TRANS: SurvivalTools.HarmonyPatches.Transpile_JobDriver_ConstructFinishFrame_MakeNewToils
<MakeNewToils>c__AnonStorey1.<>m__1: TRANS: SurvivalTools.HarmonyPatches.Transpile_JobDriver_Repair_MakeNewToils
<MakeNewToils>c__AnonStorey1.<>m__2: TRANS: SmartMedicine.MakeNewToils_Patch.Transpiler
<MakeNewToils>c__Iterator0.<>m__4: PRE: Fluffy_BirdsAndBees.JobDriver_Lovin_MakeNewToils_FinishAction.Prefix
<PickupMedicine>c__AnonStorey1.<>m__0: TRANS: SmartMedicine.PickupMedicine_Patch.Transpiler
<Prosthetics>c__AnonStorey24.<>m__6: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
<Regenerate>c__Iterator0.MoveNext: TRANS: WorldGenRules.RulesOverrider+WorldLayer_Hills_Regenerate_Patch.Transpiler
<Regenerate>c__Iterator0.MoveNext: TRANS: WorldGenRules.RulesOverrider+WorldLayer_SingleTile_Regenerate_Patch.Transpiler
<TryGiveJob>c__AnonStorey0.<>m__0: TRANS: AnimalsLogic.YouSleepHere+JobGiver_RescueNearby_TryGiveJob_Patch.Transpiler
ActiveDropPod.PodOpen: PRE: MapReroll.Patches.ActiveDropPod_PodOpen_Patch.RecordPodContents
AddictionUtility.CanBingeOnNow: PRE: Hospitality.Harmony.AddictionUtility_Patch+CanBingeOnNow.Prefix post: AlienRace.HarmonyPatches.CanBingeNowPostfix
AgeInjuryUtility.GenerateRandomOldAgeInjuries: PRE: AlienRace.HarmonyPatches.GenerateRandomOldAgeInjuriesPrefix
AgeInjuryUtility.RandomHediffsToGainOnBirthday: post: AlienRace.HarmonyPatches.RandomHediffsToGainOnBirthdayPostfix
Alert_LifeThreateningHediff.GetExplanation: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
Alert_LowMedicine.MedicineCount: post: SmartMedicine.StockUp.LowMedicineWarning.Postfix
ApparelGraphicRecordGetter.TryGetGraphicApparel: TRANS: JecsTools.HarmonyPatches.CutOutComplexApparel_Transpiler
ApparelProperties.GetInterferingBodyPartGroups: TRANS: AlienRace.HarmonyPatches.GetInterferingBodyPartGroupsTranspiler
ApparelUtility.CanWearTogether: PRE: WhatTheHack.Harmony.ApparelUtility_CanWearTogether.Prefix post: JecsTools.HarmonyPatches.Post_CanWearTogether
ApparelUtility.HasPartsToWear: post: WhatTheHack.Harmony.ApparelUtility_HasPartsToWear.Postfix
ArmorUtility.ApplyArmor: PRE: JecsTools.HarmonyPatches.ApplyProperDamage
ArmorUtility.GetPostArmorDamage: post: JecsTools.HarmonyPatches.Post_GetPostArmorDamage
AttackTargetFinder.BestAttackTarget: PRE: CosmicHorror.Utility.BestAttackTargetPrefix, CosmicHorror.HarmonyPatches.BestAttackTargetPrefix, AvoidFriendlyFire.AttackTargetFinder_BestAttackTarget_Patch.Prefix
AttackTargetFinder.GetShootingTargetScore: post: FrontierDevelopments.Shields.Harmony.Harmony_AttackTargetFinder+Patch_GetShootingTargetScore.Postfix
AutoUndrafter.AutoUndraftTick: post: SimpleSidearms.intercepts.AutoUndrafter_AutoUndraftTick_Postfix.AutoUndraftTick
BackCompatibility.BillMedicalResolvingCrossRefs: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
BackCompatibility.HediffResolvingCrossRefs: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
Backstory.TitleFor: PRE: REB_Code.Patch_Backstory_TitleFor.Prefix
BaseGenUtility.IsCheapWallStuff: post: Rimefeller.HarmonyPatches+Harmony_IsCheapWallStuff.Postfix, Rimefeller.HarmonyPatches+Harmony_IsCheapWallStuff.Postfix
BattleLogEntry_DamageTaken.DamagedBody: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
BattleLogEntry_ExplosionImpact.DamagedBody: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
BattleLogEntry_MeleeCombat.DamagedBody: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
BattleLogEntry_RangedImpact.DamagedBody: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
BeachMaker.Init: PRE: [10]MapReroll.Patches.DeterministicGenerationPatcher.DeterministicBeachSetup post: [-10]MapReroll.Patches.DeterministicGenerationPatcher.PopDeterministicRandState
Bill.DoInterface: PRE: ImprovedWorkbenches.Bill_DoInterface_Detour.Prefix post: ImprovedWorkbenches.Bill_DoInterface_Detour.Postfix
Bill.PawnAllowedToStartAnew: post: AlienRace.HarmonyPatches.PawnAllowedToStartAnewPostfix
Bill.get_LabelCap: PRE: ImprovedWorkbenches.Bill_LabelCap_Detour.Prefix
BillRepeatModeUtility.MakeConfigFloatMenu: PRE: ImprovedWorkbenches.BillRepeatModeUtility_MakeConfigFloatMenu_Detour.Prefix
BillStack.Delete: PRE: ImprovedWorkbenches.BillStack_Delete_Detour.Prefix
BillStack.DoListing: PRE: DubsMintMenus.Patch_BillStack_DoListing.Prefix, ImprovedWorkbenches.BillStack_DoListing_Detour.Prefix post: ImprovedWorkbenches.BillStack_DoListing_Detour.Postfix
BillUtility.MakeNewBill: post: ImprovedWorkbenches.Detours.BillUtility_MakeNewBill_Detour.Postfix
Bill_Medical.Notify_DoBillStarted: PRE: WhatTheHack.Harmony.Bill_Medical_Notify_DoBillStarted.Prefix
Bill_Medical.ShouldDoNow: post: WhatTheHack.Harmony.Bill_Medical_ShouldDoNow.Postfix
Bill_Medical.set_Part: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler, AlienRace.HarmonyPatches.BodyReferenceTranspiler, AlienRace.HarmonyPatches.BodyReferenceTranspiler
Bill_Production.DoConfigInterface: PRE: ImprovedWorkbenches.Bill_Production_DoConfigInterface_Detour.Prefix post: ImprovedWorkbenches.Bill_Production_DoConfigInterface_Detour.Postfix
Bill_Production.get_RepeatInfoText: PRE: ImprovedWorkbenches.Bill_Production_RepeatInfoText_Detour.Prefix
Blueprint.TryReplaceWithSolidThing: TRANS: Replace_Stuff.OverMineable.BlueprintToFrameUnderRock.Transpiler
Blueprint_Build.get_WorkTotal: post: Replace_Stuff.NewThing.NewThingDeconstructWork_Blueprint.Postfix
Bombardment.CreateRandomExplosion: TRANS: FrontierDevelopments.Shields.Module.RimworldModule.Harmony_Bombardment+Patch_CreateRandomExplosion.Transpiler
Bombardment.StartRandomFire: TRANS: FrontierDevelopments.Shields.Module.RimworldModule.Harmony_Bombardment+Patch_StartRandomFire.Transpiler
Bombardment.Tick: post: FrontierDevelopments.Shields.Module.RimworldModule.Harmony_Bombardment+Patch_Tick.Postfix
Building.Destroy: PRE: ImprovedWorkbenches.Building_Destroy_Detour.Prefix
Building_Bed.AssignedAnything: PRE: AnimalsLogic.YouSleepHere+Building_Bed_AssignedAnything_Patch.Prefix
Building_Bed.GetCurOccupant: PRE: WhatTheHack.Harmony.Building_Bed_GetCurOccupant.Prefix
Building_Bed.GetGizmos: post: AnimalsLogic.YouSleepHere+Building_Bed_GetGizmos_Patch.Postfix, UseBedrolls.TempBedGizmo.Postfix, UseBedrolls.TravelerBedGizmo.Postfix, Hospitality.Harmony.Building_Bed_Patch+GetGizmos.Postfix
Building_Bed.GetInspectString: TRANS: AnimalsLogic.YouSleepHere+Building_Bed_GetInspectString_Patch.Transpiler
Building_Bed.GetSleepingSlotPos: post: WhatTheHack.Harmony.Building_Bed_GetSleepingSlotPos.Postfix
Building_Bed.RemoveAllOwners: post: UseBedrolls.RemoveAllOwners_Patch.Postfix
Building_Bed.TryAssignPawn: PRE: AnimalsLogic.YouSleepHere+Building_Bed_TryAssignPawn_Patch.Prefix
Building_Bed.get_AssigningCandidates: post: AnimalsLogic.YouSleepHere+Building_Bed_get_AssigningCandidates_Patch.Postfix, AlienRace.HarmonyPatches.AssigningCandidatesPostfix
Building_Bed.set_ForPrisoners: post: Hospitality.Harmony.Building_Bed_Patch+ForPrisoners.Postfix
Building_Bed.set_Medical: TRANS: AnimalsLogic.YouSleepHere+Building_Bed_set_Medical_Patch.Transpiler
Building_Cooler.TickRare: TRANS: Replace_Stuff.CoolersOverWalls.WideVentLocationTemp.Transpiler
Building_CryptosleepCasket.EjectContents: PRE: ShipsHaveInsides.Mod.RecoverPawnAfterExit.Prefix post: ShipsHaveInsides.Mod.RecoverPawnAfterExit.PostFix
Building_Door.CanPhysicallyPass: PRE: DoorsExpanded.HarmonyPatches.CanPhysicallyPass
Building_Door.DeSpawn: post: Locks.HarmonyPatches.Patch_RemoveLockData.Postfix
Building_Door.DoorOpen: PRE: DoorsExpanded.HarmonyPatches.InvisDoorOpen
Building_Door.DoorTryClose: PRE: DoorsExpanded.HarmonyPatches.InvisDoorTryClose
Building_Door.ExposeData: post: Locks.HarmonyPatches.Patch_AddLockDataToSave.Postfix
Building_Door.GetGizmos: TRANS: Locks.HarmonyPatches.Patch_AddLockGizmoToDoors.Transpiler
Building_Door.Notify_PawnApproaching: post: DoorsExpanded.HarmonyPatches.InvisDoorNotifyApproaching
Building_Door.PawnCanOpen: PRE: Hospitality.Harmony.Building_Door_Patch+PawnCanOpen.Prefix post: Shoo.PawnCanOpen.Postfix, ExpandedIncidents.Harmony.Building_Door_CanOpenPatch.AllowThievesToOpen TRANS: Locks.HarmonyPatches.Patch_InjectLockCheck.Transpiler, LocksDoorsExpanded.HarmonyPatches.Patch_InjectLockCheck.Transpiler
Building_Door.StartManualCloseBy: PRE: DoorsExpanded.HarmonyPatches.InvisDoorManualClose
Building_Door.StartManualOpenBy: post: DoorsExpanded.HarmonyPatches.InvisDoorManualOpen
Building_Door.get_BlockedOpenMomentary: post: GiddyUpCore.Harmony.Building_Door_get_BlockedOpenMomentary.Postfix
Building_Door.get_FreePassage: PRE: DoorsExpanded.HarmonyPatches.get_FreePassage
Building_DoorExpanded.DeSpawn: post: LocksDoorsExpanded.HarmonyPatches.Patch_RemoveLockData.Postfix
Building_DoorExpanded.ExposeData: post: LocksDoorsExpanded.HarmonyPatches.Patch_AddLockDataToSave.Postfix
Building_DoorExpanded.GetGizmos: TRANS: LocksDoorsExpanded.HarmonyPatches.Patch_AddLockGizmoToDoors.Transpiler
Building_Grave.Notify_CorpseBuried: post: Psychology.Harmony.Building_Grave_NotifyCorpseBuried_Patch.FillGraveThought, Psychology.Harmony.Building_Grave_NotifyCorpseBuriedFuneralHook.PlanFuneral
Building_Grave.get_StorageTabVisible: PRE: MassGraves.Harmony_Storage_Tab_Visible.Prefix
Building_TurretGun.BurstCooldownTime: post: TurretExtensions.HarmonyPatches.PostfixBurstCooldownTime
Building_TurretGun.DrawExtraSelectionOverlays: post: WhatTheHack.Harmony.Building_TurretGun_DrawExtraSelectionOverlays.Postfix
Building_TurretGun.GetInspectString: TRANS: TurretExtensions.HarmonyPatches.TranspileGetInspectString
Building_TurretGun.SpawnSetup: post: TurretExtensions.HarmonyPatches.PostfixSpawnSetup
Building_TurretGun.Tick: PRE: TurretExtensions.HarmonyPatches.PrefixTick post: WhatTheHack.Harmony.Building_TurretGun_Tick.Postfix
Building_TurretGun.TryStartShootSomething: PRE: Replace_Stuff.Replace.DisableTurret.Prefix post: TurretExtensions.HarmonyPatches.PostfixTryStartShootSomething
Building_TurretGun.get_CanSetForcedTarget: post: TurretExtensions.HarmonyPatches.PostfixCanSetForcedTarget, WhatTheHack.Harmony.Building_TurretGun_get_CanSetForcedTarget.Postfix
Building_WorkTable.UsableForBillsAfterFueling: post: Replace_Stuff.Replace.DisableWorkbench.Postfix
Building_WorkTable.UsedThisTick: PRE: TurnItOnandOff.Building_WorkTable_UsedThisTick_Patch.UsedThisTick
Bullet.Impact: PRE: SuppressionMod.Patch_Bullet_Impact.BulletImpactStuff TRANS: RangedStaggerRebalanced.HarmonyPatches.TranspileImpact
CameraDriver.ApplyPositionToGameObject: TRANS: CameraPlus.CameraDriver_ApplyPositionToGameObject_Patch.Postfix_ApplyPositionToGameObject
CameraDriver.get_CurrentViewRect: TRANS: CameraPlus.CameraDriver_CurrentViewRect_Patch.LerpCurrentViewRect
CameraDriver.get_CurrentZoom: TRANS: CameraPlus.CameraDriver_CurrentZoom_Patch.LerpCurrentZoom
Caravan.AddPawn: PRE: CompVehicle.HarmonyCompVehicle.AddVehiclePawnsToCaravan
Caravan.GetGizmos: post: Syrchalis_SetUpCamp.SetUpCamp.GetGizmosPostfix, JecsTools.HarmonyCaravanPatches.GetGizmos_Jobs
Caravan.GetInspectString: post: JecsTools.HarmonyCaravanPatches.GetInspectString_Jobs, CompVehicle.HarmonyCompVehicle.GetInspectString_PostFix
Caravan.Tick: post: WhatTheHack.Harmony.Caravan_Tick.Postfix
Caravan.get_PlayerWealthForStoryteller: PRE: CRC_Reintegrated.MarvsCaravanWealthForStoryTeller.Prefix
CaravanEnterMapUtility.Enter: PRE: UseBedrolls.CaravanBedrollSharer.Prefix, CompVehicle.HarmonyCompVehicle.Enter_PreFix, MapReroll.Patches.CaravanEnterMapUtility_Enter_Patch.RecordPlayerAddedMapThings
CaravanExitMapUtility.CanExitMapAndJoinOrCreateCaravanNow: post: CompVehicle.HarmonyCompVehicle.CanExit_PostFix
CaravanExitMapUtility.ExitMapAndCreateCaravan: PRE: [800]ChangeDresser.Patch_CaravanExitMapUtility_ExitMapAndCreateCaravan.Prefix, [800]InfiniteStorage.Patch_CaravanExitMapUtility_ExitMapAndCreateCaravan.Prefix, [800]WeaponStorage.Patch_CaravanExitMapUtility_ExitMapAndCreateCaravan.Prefix
CaravanFormingUtility.AllSendablePawns: TRANS: WhatTheHack.Harmony.CaravanFormingUtility_AllSendablePawns.Transpiler
CaravanFormingUtility.StopFormingCaravan: post: [800]ChangeDresser.Patch_CaravanFormingUtility_StopFormingCaravan.Postfix, [800]InfiniteStorage.Patch_CaravanFormingUtility_StopFormingCaravan.Postfix, [800]WeaponStorage.Patch_CaravanFormingUtility_StopFormingCaravan.Postfix
CaravanInventoryUtility.TryGetBestFood: post: WM.SmarterFoodSelection.Detours.CaravanInventoryUtility.TryGetBestFood.Postfix
CaravanTicksPerMoveUtility.GetTicksPerMove: PRE: GiddyUpCaravan.Harmony.CaravanTicksPerMoveUtility_GetTicksPerMove.Prefix post: CompVehicle.HarmonyCompVehicle.GetTicksPerMove_PostFix TRANS: GiddyUpCaravan.Harmony.CaravanTicksPerMoveUtility_GetTicksPerMove.Transpiler
CaravanUIUtility.AddPawnsSections: post: CompVehicle.HarmonyCompVehicle.AddPawnsSections_PostFix, WhatTheHack.Harmony.CaravanUIUtility_AddPawnsSections.Postfix
Caravan_NeedsTracker.TrySatisfyPawnNeeds: PRE: CompVehicle.HarmonyCompVehicle.TrySatisfyFuelNeeds
Caravan_PathFollower.CostToMove: post: GiddyUpCaravan.Harmony.Caravan_PathFollower_CostToMove.Postfix
CastPositionFinder.CastPositionPreference: TRANS: FrontierDevelopments.Shields.Harmony_CastPositionFinder+Patch_CastPositionPreference.Transpiler
CellFinderLoose.GetFleeDestToolUser: PRE: RunandHide.Harmony.CellFinderLoosePatch.GetFleeDestToolUserPatch
CharacterCardUtility.DrawCharacterCard: TRANS: [700]MoreTraitSlots.CharacterCardUtility_DrawCharacterCard.Transpiler, PawnsAreCapable.CharacterCardUtility_DrawCharacterCard.Transpiler, Psychology.Harmony.CharacterCardUtility_ButtonPatch.AddPsycheDisplay, WhatTheHack.Harmony.CharacterCardUtility_DrawCharacterCard.Transpiler
ChildRelationUtility.ChanceOfBecomingChildOf: post: Psychology.Harmony.ChildRelationUtility_ChanceOfBecomingChildOf_Patch.KinseyFactor
CollectionsMassCalculator.CapacityTransferables: PRE: CosmicHorror.HarmonyPatches.CapacityTransferables_PreFix
ColonistSaver.SaveToFile: TRANS: FacialStuff.Harmony.Optional.PrepC.PresetSaver_Postfix.SavePawnRef, Psychology.Harmony.Optional.PresetSaverPatch.SavePawnRef
Command.GizmoOnGUI: post: AllowTool.Patches.Command_GizmoOnGUI_Patch.InterceptInteraction TRANS: AllowTool.Patches.Command_GizmoOnGUI_Patch.DrawRightClickIcon
CompBreakdownable.CheckForBreakdown: PRE: Fluffy_Breakdowns.HarmonyPatch_CheckForBreakdown.Prefix
CompBreakdownable.CompInspectStringExtra: PRE: Fluffy_Breakdowns.HarmonyPatch_CompInspectStringExtra.Prefix
CompDrug.PostIngested: post: AlienRace.HarmonyPatches.PostIngestedPostfix
CompEggLayer.ProduceEgg: post: Arachnophobia.HarmonyPatches.ProduceEgg_PostFix
CompForbiddable.PostDraw: PRE: DoorsExpanded.HarmonyPatches.DontDrawInvisDoorForbiddenIcons
CompHasGatherableBodyResource.Gathered: TRANS: HarvestYieldPatch.AnimalYieldPatch.AnimalYieldPatch_Prefix
CompHibernatable.Startup: post: WhatTheHack.Harmony.CompHibernatable_Startup.Postfix
CompLaunchable.ChoseWorldTarget: TRANS: Fuel_Economy.ChoseWorldTargetPatch.Transpiler
CompLaunchable.TryLaunch: TRANS: Fuel_Economy.TryLaunchPatch.Transpiler
CompLaunchable.get_MaxLaunchDistance: TRANS: Fuel_Economy.MaxLaunchDistance_Patch.Transpiler
CompLongRangeMineralScanner.FoundMinerals: PRE: WhatTheHack.Harmony.CompLongRangeMineralScanner_Foundminerals.Prefix
CompPowerPlantWater.CompInspectStringExtra: post: WatermillTweaks.HarmonyPatches.PostfixCompInspectStringExtra
CompPowerPlantWater.get_DesiredPowerOutput: post: WatermillTweaks.HarmonyPatches.PostfixDesiredPowerOutput
CompPowerTrader.SetUpPowerVars: post: TurretExtensions.HarmonyPatches.PostfixSetUpPowerVars
CompProperties_Refuelable.SpecialDisplayStats: PRE: WhatTheHack.Harmony.CompProperties_Refuelable_SpecialDisplayStats.Prefix
CompQuality.PostPostGeneratedForTrader: PRE: MoreFactionInteraction.HarmonyPatches.CompQuality_TradeQualityIncreasePreFix
CompQuality.SetQuality: post: Infused.CompQuality_SetQuality_Patch.Postfix
CompRefuelable.CompInspectStringExtra: TRANS: TurretExtensions.HarmonyPatches.CompRefuelable_FuelCapacityTranspiler
CompRefuelable.GetFuelCountToFullyRefuel: TRANS: TurretExtensions.HarmonyPatches.TranspileGetFuelCountToFullyRefuel
CompRefuelable.Refuel: post: WhatTheHack.Harmony.CompRefuelable_MechanoidData_Refuel.Postfix TRANS: TurretExtensions.HarmonyPatches.CompRefuelable_FuelCapacityTranspiler
CompRefuelable.get_TargetFuelLevel: TRANS: TurretExtensions.HarmonyPatches.CompRefuelable_FuelCapacityTranspiler
CompRefuelable.set_TargetFuelLevel: TRANS: TurretExtensions.HarmonyPatches.CompRefuelable_FuelCapacityTranspiler
CompRottable.CompTickRare: PRE: RFF_Code.CompRottable_CompTickRare.Prefix post: RFF_Code.CompRottable_CompTickRare.Postfix
CompSpawnerMechanoidsOnDamaged.<TrySpawnMechanoids>m__1: post: CompVehicle.HarmonyCompVehicle.MechanoidsFixer
CompSpawnerMechanoidsOnDamaged.TrySpawnMechanoids: TRANS: TargetingModes.HarmonyPatches.Transpile_TrySpawnMechanoids
CompTargetEffect_PsychicShock.DoEffectOn: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
CompTemperatureRuinable.DoTicks: PRE: AnimalsLogic.RuinedEggs+CompTemperatureRuinable_DoTicks_Patch.Prefix, RimFridge.Patch_CompTemperatureRuinable_DoTicks.Prefix post: AnimalsLogic.RuinedEggs+CompTemperatureRuinable_DoTicks_Patch.Postfix
CompUseEffect_FixWorstHealthCondition.DoEffect: PRE: DeathRattle.Harmony.CompUseEffect_FixWorstHealthCondition_DestroyedOrganPatch.PrioritizeDestroyedOrgans
CompUseEffect_FixWorstHealthCondition.get_HandCoverageAbsWithChildren: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler, AlienRace.HarmonyPatches.BodyReferenceTranspiler
Corpse.ButcherProducts: PRE: AlienRace.HarmonyPatches.ButcherProductsPrefix
Corpse.GetInspectString: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
Corpse.IngestedCalculateAmounts: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
Corpse.SpecialDisplayStats: post: BoneMod.HarmonyPatches.SpecialDisplayStats_PostFix
DamageWorker_AddInjury.ApplySmallPawnDamagePropagation: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
DamageWorker_AddInjury.ChooseHitPart: post: TargetingModes.HarmonyPatches.Postfix_ChooseHitPart
DamageWorker_AddInjury.FinalizeAndAddInjury: post: CompVehicle.HarmonyCompVehicle.TryInjureVehicleOccupants
DamageWorker_Bite.ChooseHitPart: post: TargetingModes.HarmonyPatches.Postfix_ChooseHitPart_External
DamageWorker_Blunt.<StunChances>m__1: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
DamageWorker_Blunt.<StunChances>m__6: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
DamageWorker_Blunt.ApplySpecialEffectsToPart: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
DamageWorker_Blunt.ChooseHitPart: post: TargetingModes.HarmonyPatches.Postfix_ChooseHitPart_External
DamageWorker_Cut.ChooseHitPart: post: TargetingModes.HarmonyPatches.Postfix_ChooseHitPart_External
DamageWorker_Scratch.ChooseHitPart: post: TargetingModes.HarmonyPatches.Postfix_ChooseHitPart_External
DamageWorker_Stab.ChooseHitPart: TRANS: TargetingModes.HarmonyPatches.Transpile_ChooseHitPart
DaysWorthOfFoodCalculator.ApproxDaysWorthOfFood: PRE: WhatTheHack.Harmony.DaysWorthOfFoodCalculator_ApproxDaysWorthOfFood.Prefix
DebugTools_Health.Options_Damage_BodyParts: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
DebugTools_Health.Options_Hediff_BodyParts: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
DebugWindowsOpener.DevToolStarterOnGUI: TRANS: HugsLib.Patches.DevToolStarterOnGUI_Patch.ExtendButtonsWindow
DebugWindowsOpener.DrawButtons: TRANS: HugsLib.Patches.DebugWindowsOpener_Patch.DrawAdditionalButtons
DebugWindowsOpener.ToggleDebugActionsMenu: TRANS: MoreFactionInteraction.HarmonyPatches.DebugWindowsOpener_ToggleDebugActionsMenu_Patch
DeepResourceGrid.MarkForDraw: post: DeepOreIdentifier.HarmonyPatches+Patch_DeepResourceGrid.Postfix
DefGenerator.GenerateImpliedDefs_PostResolve: post: FrontierDevelopments.Shields.Mod+Patch_GenerateImpliedDefs_PostResolve.Postfix, FrontierDevelopments.ClimateControl.Module+Patch_GenerateImpliedDefs_PostResolve.Postfix, [0]FrontierDevelopments.Shields.Module.CrashLandingModule.Module+Patch_GenerateImpliedDefs_PostResolve.Postfix
DefGenerator.GenerateImpliedDefs_PreResolve: PRE: StuffedFloors.HarmonyPatch_GenerateImpliedDefs_PreResolve.Prefix post: Numbers.Numbers.Columndefs, Fluffy_BirdsAndBees.GenerateImpliedDefs_PreResolve.Postfix, AnimalTab.Patch_GenerateImpliedDefs_PreResolve.Postfix, Fluffy.DefGenerator_GenerateImpliedDefs_PreResolve.Postfix, WorkTab.DefGenerator_GenerateImpliedDefs_PreResolve.Postfix
DefMap`2.ExposeData: post: RIMMSqol.DefMapSaveStateFixRecordDef.Postfix
DefMap`2.ExposeData: post: RIMMSqol.DefMapSaveStateFixWorkTypeDef.Postfix
DefOfHelper.EnsureInitializedInCtor: PRE: Fuel_Economy.Mod.EnsureInitializedInCtorPrefix, GearUpAndGo.Mod.EnsureInitializedInCtorPrefix, Meals_On_Wheels.Mod.EnsureInitializedInCtorPrefix, Replace_Stuff.Mod.EnsureInitializedInCtorPrefix, Share_The_Load.Mod.EnsureInitializedInCtorPrefix, SmartMedicine.Mod.EnsureInitializedInCtorPrefix, UseBedrolls.Mod.EnsureInitializedInCtorPrefix
DefOfHelper.RebindAllDefOfs: post: AllowTool.Patches.DefOfHelper_RebindAll_Patch.HookBeforeImpliedDefsGeneration
Designation.DesignationDraw: PRE: MorePlanning.Patches.DesignationPlanningDraw.Prefix, MorePlanning.Patches.DesignationPlanningDraw.Prefix
Designation.ExposeData: PRE: MorePlanning.Patches.DesignationPlanningExposeData.Prefix, MorePlanning.Patches.DesignationPlanningExposeData.Prefix
DesignationDragger.UpdateDragCellsIfNeeded: PRE: Merthsoft.DesignatorShapes.Patches.DesignationDragger_UpdateDragCellsIfNeeded.Prefix
DesignationManager.AddDesignation: post: Merthsoft.DesignatorShapes.Patches.DesignationManager_AddDesignation.Postfix
Designator.CanDesignateThing: post: AlienRace.HarmonyPatches.CanDesignateThingTamePostfix
Designator.Finalize: post: Merthsoft.DesignatorShapes.Patches.Designator_Finalize.Postfix
DesignatorManager.ProcessInputEvents: PRE: Merthsoft.DesignatorShapes.Patches.DesignatorManager_ProcessInputEvents.Prefix post: Merthsoft.DesignatorShapes.Patches.DesignatorManager_ProcessInputEvents.Postfix
DesignatorManager.Select: PRE: Merthsoft.DesignatorShapes.Patches.DesignatorManager_Select.Prefix post: Merthsoft.DesignatorShapes.Patches.DesignatorManager_Select.Postfix
Designator_Build.CanDesignateCell: PRE: Replace_Stuff.Designator_Build_Stuff.Prefix post: Replace_Stuff.Designator_Build_Stuff.Postfix
Designator_Build.GizmoOnGUI: post: ShowMeThePower.ShowMeThePower.DesignatorShower
Designator_Build.ProcessInput: PRE: InfiniteStorage.Patch_Designator_Build_ProcessInput.Prefix
Designator_Build.get_Visible: PRE: Replace_Stuff.HideCoolerBuild.Prefix, MassGraves.Harmony_Designator_Build.Prefix post: Rimatomics.HarmonyPatches+Harmony_Designator_Build_Visible.Postfix
Designator_Cancel.DesignateThing: PRE: TurretExtensions.HarmonyPatches.PrefixDesignateThing
Designator_Dropdown..ctor: post: Replace_Stuff.CoolersOverWalls.DropdownInOrder.Postfix
Designator_Install.CanDesignateCell: TRANS: Replace_Stuff.BlueprintReplace.DesignatorInstall.Transpiler
Designator_PlantsCut.IconReverseDesignating: PRE: AllowTool.Patches.DesignatorCut_ReverseIcon_Patch.NeverChangeIcon
Designator_PlantsCut.LabelCapReverseDesignating: PRE: AllowTool.Patches.DesignatorCut_ReverseLabel_Patch.NeverChangeLabel
Designator_ZoneAdd_Growing.CanDesignateCell: PRE: RWC_Code.Designator_ZoneAdd_Growing_RWC.CanDesignateCellPrefix post: RWC_Code.Designator_ZoneAdd_Growing_RWC.CanDesignateCellPostfix
DestroyedSettlement.ShouldRemoveMapNow: post: Rimatomics.HarmonyPatches+Harmony_DestroyedSettlement_ShouldRemoveMapNow.Postfix
Dialog_BillConfig.DoWindowContents: PRE: RSA.Dialog_BillConfig_DoWindowContents.Before_DoWindowContents post: ImprovedWorkbenches.BillConfig_DoWindowContents_Patch.DrawFilters
Dialog_DebugActionsMenu.<DoListingItems_MapTools>m__5A: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
Dialog_DebugActionsMenu.DoListingItems_AllModePlayActions: post: RIMMSqol.DebugAction_RegenerateFactionLeaders.Postfix
Dialog_FormCaravan.AddPawnsToTransferables: PRE: Psychology.Harmony.Dialog_FormCaravan_AddPawnsToTransferables_Patch.DoWindowContentsDisbandCaravans
Dialog_FormCaravan.CheckForErrors: PRE: CompVehicle.HarmonyCompVehicle.CheckForErrors_PreFix
Dialog_FormCaravan.CountToTransferChanged: post: WhatTheHack.Harmony.Dialog_FormCaravan_CountToTransferChanged.Postfix
Dialog_FormCaravan.DoBottomButtons: TRANS: WhatTheHack.Harmony.Dialog_FormCaravan_DoBottomButtons.Transpiler
Dialog_FormCaravan.PostOpen: PRE: ChangeDresser.Patch_Dialog_FormCaravan_PostOpen.Prefix, InfiniteStorage.Patch_Dialog_FormCaravan_PostOpen.Prefix, WeaponStorage.Patch_Dialog_FormCaravan_PostOpen.Prefix
Dialog_FormCaravan.TryFormAndSendCaravan: post: WhatTheHack.Harmony.Dialog_FormCaravan_TryFormAndSendCaravan.Postfix
Dialog_FormCaravan.TryReformCaravan: PRE: WhatTheHack.Harmony.Dialog_FormCaravan_TryReformCaravan.Prefix
Dialog_LoadTransporters.CheckForErrors: post: Fuel_Economy.SmallPod.Postfix
Dialog_LoadTransporters.CountToTransferChanged: post: WhatTheHack.Harmony.Dialog_LoadTransporters_CountToTransferChanged.Postfix
Dialog_LoadTransporters.TryAccept: post: WhatTheHack.Harmony.Dialog_LoadTransporters_TryAccept.Postfix
Dialog_ManageOutfits.DoWindowContents: PRE: RSA.DialogManageOutfits_DoWindowContents.Before_DoWindowContents post: Outfitted.Dialog_ManageOutfits_DoWindowContents_Patch.Postfix
Dialog_MedicalDefaults.DoWindowContents: post: SmartMedicine.SurgeryUnlimited.SurgeryUnlimitedSetting.Postfix
Dialog_Options.DoWindowContents: TRANS: HugsLib.Patches.Dialog_Options_Patch.ReplaceModOptionsButton
Dialog_SplitCaravan.CheckForErrors: PRE: CompVehicle.HarmonyCompVehicle.DontSplitCaravansWithVehicles
Dialog_SplitCaravan.CountToTransferChanged: post: WhatTheHack.Harmony.Dialog_SplitCaravan_CountToTransferChanged.Postfix
Dialog_Trade.Close: post: [800]InfiniteStorage.Patch_Window_PreClose.Postfix, ChangeDresser.Patch_Window_PreClose.Postfix, WeaponStorage.Patch_Window_PreClose.Postfix
DropCellFinder.CanPhysicallyDropInto: TRANS: FrontierDevelopments.Shields.Harmony_DropCellFinder+Patch_CanPhysicallyDropInto.Transpiler
DropPodUtility.DropThingGroupsNear: PRE: RFScenarios_Code.ScenPart_PlayerPawnsArriveMethod_DropThingGroupsNear.Prefix
DynamicDrawManager.DrawDynamicThings: PRE: ReverseCommands.Patch1.Prefix
EdificeGrid.Register: PRE: DoorsExpanded.HarmonyPatches.RegisterDoorExpanded, WhatTheHack.Harmony.EdificeGrid_Register.Prefix
EditWindow_DebugInspector.CurrentDebugString: post: DubsBadHygiene.MapComponent_Hygiene+Harmony_AmountCanAccept.Postfix
EditWindow_Log.DoMessagesListing: PRE: HugsLib.Patches.EditWindow_Log_Patch.ExtraLogWindowButtons
EditWindow_TweakValues.DoWindowContents: TRANS: AlienRace.HarmonyPatches.TweakValuesTranspiler
ExpectationsUtility.CurrentExpectationFor: post: Hospitality.Harmony.ExpectationsUtility_Patch+CurrentExpectationForPawn.Postfix
Explosion.AffectCell: TRANS: FrontierDevelopments.Shields.Harmony_Explosion+Patch_AffectCell.Transpiler
Faction.CheckNaturalTendencyToReachGoodwillThreshold: PRE: FactionControl.Patch_Faction_CheckNaturalTendencyToReachGoodwillThreshold.Prefix
Faction.FactionTick: TRANS: AlienRace.HarmonyPatches.FactionTickTranspiler
Faction.Notify_MemberDied: PRE: JecsTools.HarmonyPatches.Notify_MemberDied, Arachnophobia.HarmonyPatches.Notify_MemberDied_Prefix
Faction.Notify_MemberTookDamage: post: Arachnophobia.HarmonyPatches.Notify_MemberTookDamage_PostFix
Faction.TryAffectGoodwillWith: TRANS: RemoteTech.Patches.Faction_AffectGoodwillWith_Patch.CustomNegativeStandingCap
Faction.TryMakeInitialRelationsWith: post: AlienRace.HarmonyPatches.TryMakeInitialRelationsWithPostfix
Faction.get_Color: PRE: FactionControl.Patch_Faction_get_Color.Prefix
FactionDialogMaker.AmountSendableSilver: PRE: InfiniteStorage.HarmonyPatches+Patch_FactionDialogMaker_AmountSendableSilver.Prefix
FactionDialogMaker.FactionDialogFor: post: PrisonerRansom.ReplacementCode.FactionDialogForPostFix, Hospitality.Harmony.FactionDialogMaker_Patch+FactionDialogFor.Postfix
FactionGenerator.EnsureRequiredEnemies: PRE: FactionControl.FactionGenerator_EnsureRequiredEnemies.Prefix
FactionGenerator.GenerateFactionsIntoWorld: PRE: [600]Planets_Code.FactionGenerator_GenerateFactionsIntoWorld.Prefix, FactionControl.FactionGenerator_GenerateFactionsIntoWorld.Prefix
FactionGenerator.NewGeneratedFaction: PRE: [600]Planets_Code.FactionGenerator_NewGeneratedFaction.Prefix
FactionUtility.IsPoliticallyProper: post: Hospitality.Harmony.FactionUtility_Patch+IsPoliticallyProper.Postfix
FeedPatientUtility.ShouldBeFed: TRANS: SmartMedicine.ShouldBeFed_Patch.Transpiler
FertilityGrid.CalculateFertilityAt: post: CultOfCthulhu.HarmonyPatches.CalculateFertilityAt, DubsBadHygiene.HamonyPatches+Patch_CalculateFertilityAt.Postfix
FindBestMedicine.Find: post: InfiniteStorage.HarmonyPatches+WorkGiver_Tend_Smart_Medicine_Patch.Postfix
FloatMenuMakerMap.AddDraftedOrders: post: BattleMounts.Harmony.FloatMenuMakerMap_AddDraftedOrders.Postfix, GiddyUpMechanoids.Harmony.FloatMenuMakerMap_AddDraftedOrders.Postfix
FloatMenuMakerMap.AddHumanlikeOrders: post: JecsTools._HumanlikeOrdersUtility.AddHumanlikeOrders_PostFix, CompVehicle.HarmonyCompVehicle.DontRescueVehiclesInFloatMenus, AlienRace.HarmonyPatches.AddHumanlikeOrdersPostfix, Dismiss_Trader.HarmonyPatches.FloatMenuMakerMap_AddHumanlikeOrdersToDismissTraders_PostFix, RimQuest.HarmonyPatches.AddHumanlikeOrders, DualWield.Harmony.FloatMenuMakerMap_AddHumanlikeOrders.Postfix, SimpleSidearms.intercepts.FloatMenuMakerMap_AddHumanLikeOrders_Postfix.AddHumanlikeOrders TRANS: PawnsAreCapable.FloatMenuMakerMap_AddHumanlikeOrders.Transpiler, PickUpAndHaul.HarmonyPatches.FloatMenuMakerMad_AddHumanlikeOrders_Transpiler, SurvivalTools.HarmonyPatches.Transpile_FloatMenuMakerMad_AddHumanlikeOrders
FloatMenuMakerMap.AddJobGiverWorkOrders: PRE: AchtungMod.FloatMenuMakerMap_AddJobGiverWorkOrders_Patch.Prefix, WhatTheHack.Harmony.FloatMenuMakerMap_AddJobGiverWorkOrders.Prefix post: AchtungMod.FloatMenuMakerMap_AddJobGiverWorkOrders_Patch.Postfix TRANS: PawnsAreCapable.FloatMenuMakerMap_AddUndraftedOrders.Transpiler, AchtungMod.FloatMenuMakerMap_AddJobGiverWorkOrders_Patch.Transpiler
FloatMenuMakerMap.CanTakeOrder: post: DraftingPatcher.FloatMenuMakerMap_CanTakeOrder_Patch.MakePawnControllable
FloatMenuMakerMap.ChoicesAtFor: PRE: [100]PawnsAreCapable.FloatMenuMakerMap_ChoicesAtFor.Prefix post: [700]PawnsAreCapable.FloatMenuMakerMap_ChoicesAtFor.Postfix, AchtungMod.FloatMenuMakerMap_ChoicesAtFor_Patch.Postfix, GiddyUpRideAndRoll.Harmony.FloatMenuMakerMap_ChoicesAtFor.Postfix, WhatTheHack.Harmony.FloatMenuMakerMap_ChoicesAtFor.Postfix
FloatMenuUtility.GetMeleeAttackAction: TRANS: PawnsAreCapable.FloatMenuUtility_GetMeleeAttackAction.Transpiler
FogGrid.UnfogWorker: post: Replace_Stuff.OverMineable.UnFogFix.Postfix
FoodUtility.BestFoodSourceOnMap: PRE: RIMMSqol.ThinkResultContextFood2.Prefix post: RIMMSqol.ThinkResultContextFood2.Postfix
FoodUtility.DebugFoodSearchFromMouse_OnGUI: PRE: WM.SmarterFoodSelection.Detours.FoodUtility.DebugFoodSearchFromMouse_OnGUI.Prefix post: WM.SmarterFoodSelection.Detours.FoodUtility.DebugFoodSearchFromMouse_OnGUI.Postfix
FoodUtility.DebugFoodSearchFromMouse_Update: PRE: WM.SmarterFoodSelection.Detours.FoodUtility.DebugFoodSearchFromMouse_Update.Prefix post: WM.SmarterFoodSelection.Detours.FoodUtility.DebugFoodSearchFromMouse_Update.Postfix
FoodUtility.GetBodyPartNutrition: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
FoodUtility.ThoughtsFromIngesting: post: AlienRace.HarmonyPatches.ThoughtsFromIngestingPostfix, Psychology.Harmony.FoodUtility_AddPickyThoughts_Patch.AddPickyThoughtsPatch
FoodUtility.TryFindBestFoodSourceFor: PRE: RIMMSqol.ThinkResultContextFood1.Prefix, WM.SmarterFoodSelection.Detours.FoodUtility.TryFindBestFoodSourceFor._Prefix post: RIMMSqol.ThinkResultContextFood1.Postfix, WM.SmarterFoodSelection.Detours.FoodUtility.TryFindBestFoodSourceFor._Postfix, [200]Meals_On_Wheels.FoodGrabbing.Postfix
ForbidUtility.CaresAboutForbidden: PRE: Hospitality.Harmony.ForbidUtility_Patch+CaresAboutForbidden.Replacement
ForbidUtility.InAllowedArea: post: AchtungMod.ForbidUtility_InAllowedArea_Patch.Postfix
ForbidUtility.IsForbidden: PRE: AchtungMod.ForbidUtility_IsForbidden_Patch.Prefix post: RIMMSqol.ThingForbiddenPredicatesFix.Postfix
ForbidUtility.IsForbiddenToPass: post: DoorsExpanded.HarmonyPatches.IsForbiddenToPass_PostFix
ForbidUtility.SetForbidden: PRE: Hospitality.Harmony.ForbidUtility_Patch+SetForbidden.Prefix
Frame.CompleteConstruction: PRE: Replace_Stuff.Virtualize_CompleteConstruction.Prefix, Replace_Stuff.NewThing.RememberWasNewThing.Prefix
Frame.FailConstruction: PRE: Replace_Stuff.Virtualize_FailConstruction.Prefix
Frame.MaterialsNeeded: PRE: Replace_Stuff.Virtualize_MaterialsNeeded.Prefix
Frame.get_WorkToBuild: PRE: Replace_Stuff.Virtualize_WorkToBuild.Prefix post: Replace_Stuff.NewThing.NewThingDeconstructWork.Postfix
GUC_FloatMenuUtility.AddMountingOptions: PRE: GiddyUpMechanoids.Harmony.GU_FloatMenuUtility_AddMountingOptions.Prefix
Game.DeinitAndRemoveMap: post: HugsLib.Patches.Game_DeinitAndRemoveMap_Patch.MapRemovalHook
Game.FillComponents: PRE: HugsLib.Patches.Game_FillComponents_Patch.GameInitializationHook
Game.FinalizeInit: post: HugsLib.Patches.Game_FinalizeInit_Patch.WorldLoadedHook, CameraPlus.Game_FinalizeInit_Patch.Postfix, Merthsoft.DesignatorShapes.Patches.Game_FinalizeInit.Postfix, AchtungMod.Game_FinalizeInit_Patch.Postfix, JustIgnoreMePassing.Game_FinalizeInit_Patch.Postfix, ReverseCommands.Game_FinalizeInit_Patch.Postfix, RimHUD.Patch.Verse_Game_FinalizeInit.Postfix
Game.FindMap: post: ShipsHaveInsides.Mod.Game_FindMap.AdjustReturnValue
Game.InitNewGame: post: EdB.PrepareCarefully.HarmonyPatches.InitNewGamePostfix
GameComponentUtility.GameComponentUpdate: post: AutoOwl.HarmonyPatches+Patch_GameComponentUtility.Postfix
GameComponentUtility.LoadedGame: post: ConsolidatedTraits.Patch_GameComponentUtility_LoadedGame.Postfix, RimFridge.Patch_GameComponentUtility_LoadedGame.Postfix
GameComponentUtility.StartedNewGame: post: ConsolidatedTraits.Patch_GameComponentUtility_StartedNewGame.Postfix, RimFridge.Patch_GameComponentUtility_StartedNewGame.Postfix
GameEnder.IsPlayerControlledWithFreeColonist: post: CompVehicle.HarmonyCompVehicle.CanEndGame
GameInitData.PrepForMapGen: PRE: AlienRace.HarmonyPatches.PrepForMapGenPrefix post: PawnsAreCapable.GameInitData_PrepForMapGen.Postfix
GameRules.DesignatorAllowed: post: AlienRace.HarmonyPatches.DesignatorAllowedPostfix
GatheringsUtility.ShouldGuestKeepAttendingGathering: PRE: Hospitality.Harmony.GatheringsUtility_Patch+ShouldGuestKeepAttendingGathering.Prefix
GenConstruct.BlocksConstruction: PRE: Replace_Stuff.PlaceBridges.HandleBlocksConstruction.Prefix, Replace_Stuff.Other.PawnBlockConstruction.Prefix, Share_The_Load.PawnBlockConstruction.Prefix, UseBedrolls.PawnBlockConstruction.Prefix, AchtungMod.GenConstruct_BlocksConstruction_Patch.Prefix, JustIgnoreMePassing.GenConstruct_BlocksConstruction_Patch.Prefix, RFFConcrete_Code.GenConstruct_BlocksConstruction.Prefix, RFF_Code.GenConstruct_BlocksConstruction.Prefix post: Replace_Stuff.CoolerWallShare_Blocks.Postfix, Replace_Stuff.ReplaceFrameNoBlock.Postfix, Replace_Stuff.OverMineable.MineableBlocksConstruction.Postfix, Replace_Stuff.NewThing.NewThingBlocksConstruction.Postfix, JecsTools.HarmonyPatches.BlocksConstruction_PostFix, ShipsHaveInsides.Mod.DontBlockHullUnderDoor.BlocksConstruction TRANS: Replace_Stuff.Other.FramesDontBlock.Transpiler
GenConstruct.CanBuildOnTerrain: PRE: Replace_Stuff.PlaceBridges.CanPlaceBlueprint.Prefix, DubsBadHygiene.HamonyPatches+Patch_CanBuildOnTerrain.Prefix
GenConstruct.CanConstruct: post: AlienRace.HarmonyPatches.CanConstructPostfix
GenConstruct.CanPlaceBlueprintAt: post: Replace_Stuff.NormalBuildReplace.Postfix, WhatTheHack.Harmony.GenConstruct_CanPlaceBlueprintAt.Postfix TRANS: Replace_Stuff.NormalBuildReplace.Transpiler, Replace_Stuff.OverMineable.BlueprintOverFogged.Transpiler, Replace_Stuff.OverMineable.InteractionSpot.Transpiler
GenConstruct.CanPlaceBlueprintOver: PRE: RFFConcrete_Code.GenConstruct_CanPlaceBlueprintOver.Prefix, RFF_Code.GenConstruct_CanPlaceBlueprintOver.Prefix post: Replace_Stuff.CoolerWallShare_Blueprint.Postfix, Replace_Stuff.OverMineable.CanPlaceBlueprintOverMineable.Postfix, Replace_Stuff.NewThing.CanPlaceBlueprintOverOldThing.Postfix, ShipsHaveInsides.Mod.AllowHullUnderDoor.CanPlaceBlueprintOver TRANS: Replace_Stuff.OverMineable.FramesAreEdificesInSomeCases.Transpiler
GenConstruct.HandleBlockingThingJob: post: SurvivalTools.HarmonyPatches.Postfix_HandleBlockingThingJob TRANS: Replace_Stuff.OverMineable.DontMineSmoothingRock.Transpiler, Share_The_Load.HandleAllBlockingThings.Transpiler
GenConstruct.PlaceBlueprintForBuild: PRE: Replace_Stuff.Replace.InterceptBlueprint.Prefix, Replace_Stuff.PlaceBridges.InterceptBlueprintPlaceBridgeFrame.Prefix, Replace_Stuff.OverMineable.InterceptBlueprintOverMinable.Prefix post: Merthsoft.DesignatorShapes.Patches.GenConstruct_PlaceBlueprintForBuild.Postfix
GenConstruct.PlaceBlueprintForInstall: PRE: Replace_Stuff.PlaceBridges.InterceptBlueprintPlaceBridgeFrame_Install.Prefix
GenConstruct.PlaceBlueprintForReinstall: PRE: Replace_Stuff.PlaceBridges.InterceptBlueprintPlaceBridgeFrame_Reinstall.Prefix
GenGrid.CanBeSeenOver: post: DoorsExpanded.HarmonyPatches.CanBeSeenOver
GenGrid.InBounds: PRE: FrontierDevelopments.Harmony.Harmony_GenGrid+Patch_InBounds_Vector3.Prefix
GenGrid.InBounds: PRE: FrontierDevelopments.Harmony.Harmony_GenGrid+Patch_InBounds_IntVec3.Prefix
GenGrid.Standable: post: WhatTheHack.Harmony.GenGrid_Standable.Postfix
GenHostility.GetPreyOfMyFaction: (no patches)
GenHostility.HostileTo: PRE: AnimalsLogic.GenHostility_IsPredatorHostileTo_Patch.Prefix post: AnimalsLogic.GenHostility_IsPredatorHostileTo_Patch.Postfix
GenLabel.BestKindLabel: post: CultOfCthulhu.HarmonyPatches.BestKindLabel_PostFix
GenLeaving.DoLeavingsFor: PRE: RFFConcrete_Code.GenLeaving_DoLeavingsFor_Floors.Prefix, RFF_Code.GenLeaving_DoLeavingsFor.Prefix
GenLeaving.DoLeavingsFor: PRE: Deconstruct_Return_Fix.DeconstructReturnFix+CalcFix.DoLeavingsForPrefix, RFFConcrete_Code.GenLeaving_DoLeavingsFor_Walls.Prefix
GenLeaving.GetBuildingResourcesLeaveCalculator: post: RemoteTech.Patches.GenLeaving_GetLeaveCalculator_Patch.FullRefundOnDeconstruct
GenMapUI.DrawPawnLabel: PRE: CameraPlus.GenMapUI_DrawPawnLabel_Patch.Prefix
GenMapUI.DrawThingLabel: post: Infused.GenMapUI_DrawThingLabel_Patch.Postfix
GenMapUI.DrawThingLabel: TRANS: CameraPlus.GenMapUI_DrawThingLabel_Patch.AdaptedGameFontReplacerPatch
GenPath.ShouldNotEnterCell: post: Replace_Stuff.OverMineable.ShouldNotEnterCellPatch.Postfix, DoorsExpanded.HarmonyPatches.ShouldNotEnterCellInvisDoors
GenRecipe.MakeRecipeProducts: post: Autopsy.MakeRecipeProductsPatch.Postfix
GenSpawn.Refund: post: Replace_Stuff.NewThing.RefundDeconstruct.Postfix TRANS: Replace_Stuff.NewThing.RefundDeconstruct.Transpiler
GenSpawn.Spawn: PRE: Replace_Stuff.NewThing.TransferSettings.Prefix, WhatTheHack.Harmony.GenSpawn_Spawn.Prefix post: Replace_Stuff.NewThing.TransferSettings.Postfix, WhatTheHack.Harmony.GenSpawn_Spawn.Postfix TRANS: WhatTheHack.Harmony.GenSpawn_Spawn.Transpiler
GenSpawn.SpawnBuildingAsPossible: PRE: DoorsExpanded.HarmonyPatches.HeronSpawnBuildingAsPossible
GenSpawn.SpawningWipes: PRE: Replace_Stuff.PlaceBridges.DontWipeBridgeBlueprints.Prefix, RFFConcrete_Code.GenSpawn_SpawningWipes.Prefix, RFF_Code.GenSpawn_SpawningWipes.Prefix post: Replace_Stuff.CoolerWallShare_Wipes.Postfix, Replace_Stuff.CoolerWipesCooler.Postfix, Replace_Stuff.OverMineable.NoWipeFrame.Postfix, Replace_Stuff.BlueprintReplace.WipeBlueprints.Postfix, JecsTools.HarmonyPatches.SpawningWipes_PostFix, DoorsExpanded.HarmonyPatches.InvisDoorsDontWipe
GenSpawn.WipeExistingThings: PRE: DoorsExpanded.HarmonyPatches.WipeExistingThings
GenStep_Terrain.GenerateRiver: PRE: [10]MapReroll.Patches.DeterministicGenerationPatcher.DeterministicRiverSetup post: [-10]MapReroll.Patches.DeterministicGenerationPatcher.PopDeterministicRandState
GenTemperature.SeasonalShiftAmplitudeAt: post: Planets_Code.GenTemperature_SeasonalShiftAmplitudeAt.Postfix
GhostDrawer.DrawGhostThing: PRE: Replace_Stuff.OverMineable.GhostOverFogChecker.Prefix, DoorsExpanded.HarmonyPatches.HeronDoorGhostHandler
GhostUtility.GhostGraphicFor: TRANS: Replace_Stuff.OverMineable.ShowGhostOverFog.Transpiler
Gizmo_CaravanInfo.GizmoOnGUI: post: WhatTheHack.Harmony.Gizmo_CaravanInfo_GizmoOnGUI.Postfix
GlowGrid.GameGlowAt: post: Dubs_Skylight.dubUtils+Patch_GameGlowAt.Postfix
GrammarUtility.RulesForPawn: post: AlienRace.HarmonyPatches.RulesForPawnPostfix
GraphicDatabaseHeadRecords.Reset: post: FacialStuff.GraphicsFS.GraphicDatabaseHeadRecordsModded.Reset
HarmonyPatchesFS.OpenStylingWindow: PRE: AlienFaces.HarmonyPatchesAlien.OpenFSDialog_Prefix
HaulAIUtility.PawnCanAutomaticallyHaulFast: PRE: AchtungMod.HaulAIUtility_PawnCanAutomaticallyHaulFast_Patch.Prefix
HaulAIUtility.TryFindSpotToPlaceHaulableCloseTo: post: Replace_Stuff.OverMineable.TryFindSpotToPlaceHaulableCloseToPatch.Postfix
HealthAIUtility.FindBestMedicine: PRE: [800]InfiniteStorage.HarmonyPatches+Patch_HealthAIUtility_FindBestMedicine.Prefix, SmartMedicine.FindBestMedicine.Prefix, Pharmacist.HealthAIUtility_FindBestMedicine.Prefix
HealthCardUtility.DrawHediffRow: TRANS: SmartMedicine.HediffRowPriorityCare.Transpiler
HealthCardUtility.DrawMedOperationsTab: PRE: [800]InfiniteStorage.HarmonyPatches+Patch_HealthCardUtility_DrawMedOperationsTab.Prefix post: InfiniteStorage.HarmonyPatches+Patch_HealthCardUtility_DrawMedOperationsTab.Postfix
HealthCardUtility.DrawOverviewTab: TRANS: SmartMedicine.SurgeryUnlimited.SurgergyUnlimitedPawnSettings.Transpiler, AlienRace.HarmonyPatches.BodyReferenceTranspiler
HealthCardUtility.DrawPawnHealthCard: PRE: DubsMintMenus.Patch_HealthCardUtility.Prefix post: DubsMintMenus.Patch_HealthCardUtility.Postfix
HealthCardUtility.EntryClicked: PRE: SmartMedicine.SuppressRightClickHediff.Prefix
HealthUtility.GetGeneralConditionLabel: PRE: CompVehicle.HarmonyCompVehicle.ReplaceConditionLabel
HealthUtility.GiveInjuriesOperationFailureCatastrophic: TRANS: LessArbitrarySurgery.Harmony.HealthUtilityCatastrophicInjuriesPatch.FailureCatastrophicInjuries
HealthUtility.GiveInjuriesOperationFailureMinor: TRANS: LessArbitrarySurgery.Harmony.HealthUtilityMinorInjuriesPatch.FailureMinorInjuries
HealthUtility.GiveRandomSurgeryInjuries: TRANS: LessArbitrarySurgery.Harmony.HealthUtilitySurgeryPatch.ReplaceDefaultSurgeryConsequences
HealthUtility.ShouldRandomSurgeryInjuriesAvoidDestroying: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
Hediff.PostRemoved: PRE: SmartMedicine.RemoveHediffHook.Prefix
Hediff.TendableNow: PRE: SmartMedicine.PriorityCareTendableNow.Prefix
Hediff.Tick: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
Hediff.get_TendPriority: PRE: SmartMedicine.PriorityHediff.Prefix
Hediff.set_Part: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler, AlienRace.HarmonyPatches.BodyReferenceTranspiler, AlienRace.HarmonyPatches.BodyReferenceTranspiler
HediffGiver.TryApply: PRE: Fluffy_BirdsAndBees.HediffGiver_TryApply.Prefix
HediffGiver_Hypothermia.OnIntervalPassed: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
HediffSet.<get_HasHead>m__0: post: AlienRace.HarmonyPatches.HasHeadPostfix
HediffSet.AddDirect: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
HediffSet.CacheMissingPartsCommonAncestors: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
HediffSet.CalculatePain: PRE: CosmicHorror.HarmonyPatches.CalculatePain_PreFix
HediffSet.DirtyCache: post: FacialStuff.Harmony.HarmonyPatchesFS.DirtyCache_Postfix
HediffSet.get_HasHead: PRE: AlienRace.HarmonyPatches.HasHeadPrefix
ILInstruction.GetSize: TRANS: AlienRace.HarmonyPatches.HarmonySizeBugFix
ITab.get_PaneTopY: PRE: RimHUD.Patch.RimWorld_ITab_PaneTopY.Prefix
ITab_Bills.FillTab: PRE: DubsMintMenus.Patch_ITab_Bills_FillTab.Prefix
ITab_Bills.TabUpdate: PRE: ImprovedWorkbenches.ITab_Bills_TabUpdate_Detour.Prefix
ITab_Pawn_Character.get_IsVisible: PRE: WhatTheHack.Harmony.ITab_Pawn_Character_IsVisible.Prefix
ITab_Pawn_Gear.DrawThingRow: post: CompSlotLoadable.HarmonyCompSlotLoadable.DrawThingRow_PostFix TRANS: SmartMedicine.DrawThingRow_Patch.Transpiler, PickUpAndHaul.DrawThingRow_Patch.Transpiler, SurvivalTools.HarmonyPatches.Transpile_DrawThingRow
ITab_Pawn_Gear.FillTab: TRANS: SmartMedicine.FillTab_Patch.Transpiler
ITab_Pawn_Gear.InterfaceDrop: PRE: CompInstalledPart.HarmonyCompInstalledPart.InterfaceDrop_PreFix, StraitJacket.HarmonyStraitJacket.InterfaceDropPreFix, SimpleSidearms.intercepts.ITab_Pawn_Gear_InterfaceDrop_Prefix.InterfaceDrop, WhatTheHack.Harmony.ITab_Pawn_Gear_InterfaceDrop.Prefix, Hospitality.Harmony.ITab_Pawn_Gear_Patch+InterfaceDrop.Prefix post: SmartMedicine.InterfaceDrop_Patch.Postfix
ITab_Pawn_Gear.TryDrawOverallArmor: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
ITab_Pawn_Guest.get_IsVisible: post: Hospitality.Harmony.ITab_Pawn_Guest_Patch+IsVisible.Postfix
ITab_Pawn_Health.FillTab: PRE: DubsMintMenus.Patch_ITab_Pawn_Health.Prefix
ITab_Storage.FillTab: PRE: RSA.ITab_Storage_FillTab.Before_ITab_Storage_FillTab
IncidentWorker.TryExecute: post: ExpandedIncidents.IncidentWorker_RaidEnemyPatch.PopSaboteurs
IncidentWorker_Ambush.DoExecute: TRANS: Battlemounts.Harmony.IncidentWorker_Ambush_DoExecute.Transpiler
IncidentWorker_QuestDownedRefugee.TryExecuteWorker: PRE: IncidentPersonStat.IncidentWorker_QuestDownedRefugee_Patch.Prefix
IncidentWorker_QuestPrisonerRescue.TryExecuteWorker: PRE: IncidentPersonStat.IncidentWorker_QuestPrisonerRescue_Patch.Prefix
IncidentWorker_Raid.TryExecuteWorker: TRANS: Battlemounts.Harmony.IncidentWorker_Raid_TryExecuteWorker.Transpiler, WhatTheHack.Harmony.IncidentWorker_Raid_TryExecuteWorker.Transpiler
IncidentWorker_RaidEnemy.FactionCanBeGroupSource: PRE: FactionControl.IncidentWorker_RaidEnemy_FactionCanBeGroupSource.Prefix
IncidentWorker_RaidEnemy.TryResolveRaidFaction: PRE: WhatTheHack.Harmony.IncidentWorker_RaidEnemy_TryResolveRaidFaction.Prefix
IncidentWorker_Raid_TryExecuteWorker.SpawnHackedMechanoids: post: GiddyUpMechanoids.Harmony.WTH_IncidentWorker_Raid_TryExecuteWorker.Postfix
IncidentWorker_RefugeeChased.TryExecuteWorker: PRE: IncidentPersonStat.IncidentWorker_RefugeeChased_Patch.Prefix
IncidentWorker_ShipChunkDrop.SpawnChunk: PRE: RFScenarios_Code.IncidentWorker_ShipChunkDrop_SpawnChunk.Prefix TRANS: ShipChunkDrop_Transpiler.HarmonyPatches.IncidentWorker_ShipChunkDrop_SpawnChunk_Transpiler
IncidentWorker_ShortCircuit.TryExecuteWorker: PRE: WhatTheHack.Harmony.IncidentWorker_ShortCircuit_TryExcecuteWorker.Prefix
IncidentWorker_TraderCaravanArrival.TryExecuteWorker: TRANS: GiddyUpCaravan.Harmony.IncidentWorker_TraderCaravanArrival_TryExecuteWorker.Transpiler
IncidentWorker_TravelerGroup.TryExecuteWorker: TRANS: GiddyUpCaravan.Harmony.IncidentWorker_TravelerGroup_TryExecuteWorker.Transpiler
IncidentWorker_VisitorGroup.TryConvertOnePawnToSmallTrader: PRE: GiddyUpCaravan.Harmony.IncidentWorker_VisitorGroup_TryConvertOnePawnToSmallTrader.Prefix post: RimQuest.HarmonyPatches.AddQuestGiver
IncidentWorker_VisitorGroup.TryExecuteWorker: TRANS: GiddyUpCaravan.Harmony.IncidentWorker_VisitorGroup_TryExecuteWorker.Transpiler
IncidentWorker_WandererJoin.TryExecuteWorker: PRE: IncidentPersonStat.IncidentWorker_WandererJoin_Patch.Prefix
InfestationCellFinder.GetScoreAt: post: Rimatomics.HarmonyPatches+Harmony_GetScoreAt.Postfix
InspectGizmoGrid.DrawInspectGizmoGridFor: TRANS: AllowTool.Patches.InspectGizmoGrid_DrawInspectGizmoGridFor_Patch.RegisterReverseDesignatorCommandPair
InspectPaneFiller.DoPaneContentsFor: PRE: RimHUD.Patch.RimWorld_InspectPaneFiller_DoPaneContentsFor.Prefix
InspectPaneUtility.DoTabs: PRE: RimHUD.Patch.RimWorld_InspectPaneUtility_DoTabs.Prefix
InspectPaneUtility.InspectPaneOnGUI: PRE: RimHUD.Patch.RimWorld_InspectPaneUtility_InspectPaneOnGUI.Prefix
InspectPaneUtility.PaneSizeFor: PRE: RimHUD.Patch.RimWorld_InspectPaneUtility_PaneSizeFor.Prefix
InspectPaneUtility.PaneWidthFor: PRE: RimHUD.Patch.RimWorld_InspectPaneUtility_PaneWidthFor.Prefix
InteractionUtility.CanInitiateRandomInteraction: post: Psychology.Harmony.InteractionUtility_CanInitiate_Patch.PsychologyAddonsForCanInitiate
InteractionUtility.CanReceiveRandomInteraction: post: Psychology.Harmony.InteractionUtility_CanReceive_Patch.PsychologyAddonsForCanReceive
InteractionUtility.TryGetRandomVerbForSocialFight: post: Psychology.Harmony.InteractionUtility_SocialFightVerb_Patch.RemoveBiting
InteractionWorker.Interacted: PRE: ExpandedIncidents.Harmony.InteractionWorkerHomesicknessPatch.SpreadHomesickness, ExpandedIncidents.Harmony.InteractionWorkerCliquePatch.ManageCliques
InteractionWorker_Breakup.Interacted: PRE: Psychology.Harmony.InteractionWorker_Breakup_Interacted_Patch.NewInteracted
InteractionWorker_Breakup.RandomSelectionWeight: PRE: Psychology.Harmony.InteractionWorker_RandomSelectionWeight_Patch.NewSelectionWeight
InteractionWorker_DeepTalk.RandomSelectionWeight: PRE: Psychology.Harmony.InteractionWorker_DeepTalk_SelectionWeightPatch.PsychologyException
InteractionWorker_MarriageProposal.AcceptanceChance: PRE: Psychology.Harmony.InteractionWorker_MarriageProposal_AcceptanceChancePatch.PsychologyException
InteractionWorker_MarriageProposal.Interacted: TRANS: Psychology.Harmony.InteractionWorker_MarriageProposal_InteractedPatch.BlindfoldedSurgery
InteractionWorker_MarriageProposal.RandomSelectionWeight: post: Psychology.Harmony.InteractionWorker_MarriageProposal_SelectionWeightPatch._RandomSelectionWeight
InteractionWorker_RecruitAttempt.DoRecruit: PRE: Psychology.Harmony.InteractionWorker_RecruitAttempt_DoRecruitPatch.AddCapturedThoughts
InteractionWorker_RomanceAttempt.BreakLoverAndFianceRelations: PRE: Psychology.Harmony.InteractionWorker_RomanceAttempt_BreakRelationsPatch.BreakRelations
InteractionWorker_RomanceAttempt.Interacted: PRE: [600]Psychology.Harmony.InteractionWorker_RomanceAttempt_InteractedLearnSexualityPatch.LearnSexuality post: Psychology.Harmony.InteractionWorker_RomanceAttempt_InteractedHandleThoughtsPatch.HandleNewThoughts
InteractionWorker_RomanceAttempt.RandomSelectionWeight: post: [0]Psychology.Harmony.InteractionWorker_RomanceAttempt_SelectionWeightPatch.PsychologyException
InteractionWorker_RomanceAttempt.SuccessChance: post: [0]Psychology.Harmony.InteractionWorker_RomanceAttempt_SuccessChancePatch.NewSuccessChance
InteractionWorker_RomanceAttempt.TryAddCheaterThought: post: Psychology.Harmony.InteractionWorker_RomanceAttempt_CheaterThoughtPatch.AddCodependentThought
ItemAvailability.ThingsAvailableAnywhere: PRE: Share_The_Load.DeliverAsMuchAsPossible.Prefix post: InfiniteStorage.Patch_ItemAvailability_ThingsAvailableAnywhere.Postfix
JobDriver.Cleanup: PRE: BattleMounts.Harmony.Jobdriver_Cleanup+JobDriver_Cleanup.Prefix
JobDriver.DriverTick: PRE: Hospitality.Harmony.JobDriver_Patch+DriverTick.Prefix
JobDriver.SetupToils: post: GiddyUpRideAndRoll.Harmony.JobDriver_SetupToils.Postfix, RunAndGun.Harmony.JobDriver_SetupToils.Postfix
JobDriver_AttackMelee.TryMakePreToilReservations: post: SimpleSidearms.intercepts.JobDriver_AttackMelee_TryMakePreToilReservations.Postfix
JobDriver_ConstructFinishFrame.MakeNewToils: post: QualityBuilder._JobDriver_ConstructFinishFrame.Postfix
JobDriver_Deconstruct.MakeNewToils: post: QualityBuilder._JobDriver_Deconstruct.Postfix
JobDriver_Deconstruct.TickAction: PRE: SurvivalTools.HarmonyPatches.Prefix_JobDriver_Deconstruct_TickAction
JobDriver_FoodDeliver.TryMakePreToilReservations: PRE: Meals_On_Wheels.Food_TryMakePreToilReservations_Patch.Prefix
JobDriver_FoodFeedPatient.TryMakePreToilReservations: PRE: Meals_On_Wheels.Food_TryMakePreToilReservations_Patch3.Prefix
JobDriver_HaulToCell.MakeNewToils: post: PickUpAndHaul.HarmonyPatches.JobDriver_HaulToCell_PostFix
JobDriver_HaulToCell.TryMakePreToilReservations: PRE: HaulToStack.PreToilReservations_Patch.Prefix post: HaulToStack.PreToilReservations_Patch.Postfix
JobDriver_Ingest.ReserveFoodIfWillIngestWholeStack: post: Hospitality.Harmony.JobDriver_Ingest_Patch+ReserveFoodIfWillIngestWholeStack.Postfix
JobDriver_Ingest.TryMakePreToilReservations: PRE: Meals_On_Wheels.Food_TryMakePreToilReservations_Patch2.Prefix
JobDriver_LayDown.MakeNewToils: PRE: SmartMedicine.CleanUpTempBeds.Prefix
JobDriver_LayEgg.MakeNewToils: PRE: AnimalsLogic.JobDriver_LayEgg_MakeNewToils_Patch.Prefix
JobDriver_ManTurret.FindAmmoForTurret: PRE: InfiniteStorage.JobDriver_ManTurret_FindAmmoForTurret.Prefix
JobDriver_Mine.ResetTicksToPickHit: TRANS: SurvivalTools.HarmonyPatches.Transpile_ResetTicksToPickHit
JobDriver_MineQuarry.Mine: post: SurvivalTools.HarmonyPatches.Postfix_JobDriver_MineQuarry_Mine
JobDriver_MineQuarry.ResetTicksToPickHit: TRANS: SurvivalTools.HarmonyPatches.Transpile_JobDriver_MineQuarry_ResetTicksToPickHit
JobDriver_Mount.FinishAction: post: GiddyUpRideAndRoll.Harmony.JobDriver_Mount_FinishAction.Postfix
JobDriver_Mount.TryMakePreToilReservations: post: GiddyUpRideAndRoll.Harmony.JobDriver_Mount_TryMakePreToilReservations.Postfix
JobDriver_Mounted.FinishAction: post: GiddyUpRideAndRoll.Harmony.JobDriver_Mounted_FinishAction.Postfix
JobDriver_Mounted.shouldCancelJob: post: GiddyUpRideAndRoll.Harmony.JobDriver_Mounted_ShouldCancelJob.Postfix, GiddyUpMechanoids.Harmony.GU_JobDriver_Mounted_ShouldCancelJob.Postfix
JobDriver_PlantCut.PlantWorkDoneToil: PRE: RFF_Code.JobDriver_PlantCut_Designated_PlantWorkDoneToil.Prefix
JobDriver_PlantCut.PlantWorkDoneToil: PRE: RFF_Code.JobDriver_PlantCut_PlantWorkDoneToil.Prefix
JobDriver_PlantHarvest.PlantWorkDoneToil: post: RFF_Code.JobDriver_PlantHarvest_PlantWorkDoneToil.Postfix
JobDriver_PlantHarvest.PlantWorkDoneToil: post: RFF_Code.JobDriver_PlantHarvest_Designated_PlantWorkDoneToil.Postfix
JobDriver_PredatorHunt.CheckWarnPlayer: PRE: CosmicHorror.HarmonyPatches.CheckWarnPlayer_Prefix
JobDriver_TendPatient.Notify_Starting: PRE: SmartMedicine.TendPatient_Notify_Starting_Patch.Prefix
JobDriver_UpgradeTurret.Upgrade: post: SurvivalTools.HarmonyPatches.Postfix_JobDriver_UpgradeTurret_Upgrade
JobDriver_Wait.CheckForAutoAttack: post: AllowTool.Patches.JobDriverWait_CheckForAutoAttack_Patch.DoPartyHunting
JobDriver_WatchBuilding.WatchTickAction: PRE: TurnItOnandOff.JobDriver_WatchBuilding_WatchTickAction_Patch.WatchTickAction
JobDriver_Wear.Notify_Starting: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
JobDriver_Wear.TryUnequipSomething: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
JobGiver_AIDefendPawn.TryGiveJob: PRE: AlphaAnimalRangeAttack.ARA_FightAI_Patch.Prefix, AnimalRangeAttack.ARA_FightAI_Patch.Prefix
JobGiver_DoLovin.TryGiveJob: PRE: Fluffy_BirdsAndBees.JobGiver_DoLovin_TryGiveJob.Prefix post: Fluffy_BirdsAndBees.JobGiver_DoLovin_TryGiveJob.Postfix, Psychology.Harmony.JobGiver_DoLovin_JobPatch.CancelJob
JobGiver_DropUnusedInventory.Drop: PRE: PickUpAndHaul.HarmonyPatches.Drop_Prefix
JobGiver_DropUnusedInventory.TryGiveJob: post: PickUpAndHaul.HarmonyPatches.DropUnusedInventory_PostFix TRANS: SmartMedicine.StockUp.DontDropStockedDrugs.Transpiler
JobGiver_EatRandom.TryGiveJob: PRE: AnimalsLogic.DoNotEatRandomly+JobGiver_EatRandom_TryGiveJob_Patch.Prefix
JobGiver_GetRest.GetPriority: post: Psychology.Harmony.JobGiver_GetRest_PriorityPatch.InsomniacPriority
JobGiver_GetRest.TryGiveJob: post: UseBedrolls.InterceptRest.Postfix TRANS: Replace_Stuff.Replace.DisableBed.Transpiler
JobGiver_Haul.TryGiveJob: PRE: CompVehicle.HarmonyCompVehicle.TryGiveJob_PreFix
JobGiver_Idle.TryGiveJob: post: PickUpAndHaul.HarmonyPatches.IdleJoy_Postfix
JobGiver_LayEgg.TryGiveJob: PRE: AnimalsLogic.JobGiver_LayEgg_TryGiveJob_Patch.Prefix
JobGiver_Manhunter.TryGiveJob: PRE: AlphaAnimalRangeAttack.ARA__ManHunter_Patch.Prefix, AnimalRangeAttack.ARA__ManHunter_Patch.Prefix TRANS: DoorsExpanded.HarmonyPatches.JobGiver_Manhunter_TryGiveJob_Transpiler
JobGiver_Mate.TryGiveJob: PRE: Fluffy_BirdsAndBees.JobGiver_Mate_TryGiveJob.Prefix
JobGiver_OptimizeApparel.ApparelScoreGain: post: AlienRace.HarmonyPatches.ApparelScoreGainPostFix TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
JobGiver_OptimizeApparel.ApparelScoreRaw: PRE: Outfitted.JobGiver_OptimizeApparel_ApparelScoreRaw_Patch.Prefix
JobGiver_OptimizeApparel.SetNextOptimizeTick: PRE: StraitJacket.HarmonyStraitJacket.SetNextOptimizeTickPreFix
JobGiver_OptimizeApparel.TryGiveJob: post: ChangeDresser.Patch_JobGiver_OptimizeApparel.Postfix
JobGiver_Orders.TryGiveJob: post: CompVehicle.HarmonyCompVehicle.PreventWaitAttackError
JobGiver_PatientGoToBed.TryIssueJobPackage: TRANS: SmartMedicine.UseTempSleepSpot.Transpiler
JobGiver_PrepareCaravan_GatherItems.TryGiveJob: PRE: CompVehicle.HarmonyCompVehicle.TryGiveItemJob_PreFix
JobGiver_ReactToCloseMeleeThreat.IsHunting: post: Arachnophobia.HarmonyPatches.IsHunting_PostFix
JobGiver_SatisfyChemicalNeed.DrugValidator: post: AlienRace.HarmonyPatches.DrugValidatorPostfix
JobGiver_SeekAllowedArea.TryGiveJob: PRE: AnimalsLogic.YouSleepHere+JobGiver_SeekAllowedArea_TryGiveJob_Patch.Prefix
JobGiver_SocialFighting.TryGiveJob: post: UghYouGotMe.Marvs_MentalState_PleaseJustGiveUpWhenSocialFighting.Postfix
JobGiver_Work.PawnCanUseWorkGiver: PRE: Hospitality.Harmony.JobGiver_Work_Patch+PawnCanUseWorkGiver.Prefix
JobGiver_Work.TryIssueJobPackage: PRE: Hospitality.Harmony.JobGiver_Work_Patch+TryIssueJobPackage.Prefix
JoyGiver_Ingest.CanIngestForJoy: post: Hospitality.Harmony.JoyGiver_Ingest_Patch+CanIngestForJoy.Postfix
LanguageDatabase.LoadAllMetadata: post: RIMMSqol.translations.LanguageChangeListener.Postfix
LanguageDatabase.SelectLanguage: PRE: HugsLib.Patches.LanguageDatabase_Patch.ForceRestartAfterLangChange
LetterStack.LettersOnGUI: PRE: RimHUD.Patch.Verse_LetterStack_LettersOnGUI.Prefix
ListerThings.ThingsInGroup: post: InfiniteStorage.HarmonyPatches+Patch_ListerThings_ThingsInGroup.Postfix
Listing_TreeThingFilter.DoCategoryChildren: PRE: RSA.Core.ThingFilter_InjectFilter.Before_DoCategoryChildren
LoadedModManager.ApplyPatches: PRE: ModCheck.VanillaPatching.Prefix post: ModCheck.VanillaPatching.Postfix TRANS: ModCheck.VanillaPatching.Transpiler
LoadedModManager.GetSettingsFilename: PRE: FactionControl.LoadedModManager_GetSettingsFilename.Prefix
LordToil_AssaultColony.UpdateAllDuties: post: CosmicHorror.HarmonyPatches.UpdateAllDuties_PostFix
LordToil_PrepareCaravan_GatherAnimals.UpdateAllDuties: PRE: CompVehicle.HarmonyCompVehicle.GiveVehiclesLoadAnimalDuties
LordToil_PrepareCaravan_GatherItems.LordToilTick: PRE: CompVehicle.HarmonyCompVehicle.GiveVehiclesLoadItemToil
LordToil_PrepareCaravan_GatherItems.UpdateAllDuties: PRE: CompVehicle.HarmonyCompVehicle.GiveVehiclesLoadItemDuties
LordToil_PrepareCaravan_GatherSlaves.LordToilTick: PRE: CompVehicle.HarmonyCompVehicle.GiveVehiclesLoadSlaveDuties
LordToil_PrepareCaravan_Leave.UpdateAllDuties: PRE: GiddyUpCaravan.Harmony.Lordtoil_PrepareCaravan_Leave_UpdateAllDuties.Prefix
LordToil_Siege.CanBeBuilder: PRE: WhatTheHack.Harmony.LordToil_Siege_CanBeBuilder.Prefix
LovePartnerRelationUtility.ChangeSpouseRelationsToExSpouse: PRE: Psychology.Harmony.LovePartnerRelationUtility_PolygamousSpousePatch.PolygamousException
LovePartnerRelationUtility.HasAnyLovePartner: PRE: WhatTheHack.Harmony.LovePartnerRelationUtility_HasAnyLovePartner.Prefix
LovePartnerRelationUtility.LovePartnerRelationGenerationChance: post: [0]Psychology.Harmony.LovePartnerRelationUtility_GenerationChancePatch.PsychologyFormula
MainMenuDrawer.DoMainMenuControls: TRANS: ModManager.Patch_Replace_Page_ModsConfig.Transpiler
MainMenuDrawer.Init: post: CameraPlus.CrossPromotion.MainMenuDrawer_Init_Postfix
MainMenuDrawer.MainMenuOnGUI: PRE: WeaponStorage.Patch_MainMenuDrawer_MainMenuOnGUI.Prefix post: ModCheck.DoneLoading.Postfix
MainTabWindow_Architect.CacheDesPanels: PRE: RIMMSqol.ArchitectButtonFix.Prefix
MainTabWindow_Architect.CacheDesPanels: post: RIMMSqol.ArchitectButtonFix.PostfixArchitectIcons
MainTabWindow_Architect.ExtraOnGUI: PRE: Merthsoft.DesignatorShapes.DesignatorShapes.LoadDefs
MainTabWindow_Inspect.ExtraOnGUI: post: ImprovedWorkbenches.MainTabWindow_Inspect_Detour.Postfix
MainTabWindow_Inspect.get_PaneTopY: PRE: RimHUD.Patch.RimWorld_MainTabWindow_Inspect_PaneTopY.Prefix
MainTabWindow_Research.DrawLeftRect: PRE: TechAdvancing.TA_Research_Menu_Patch.Prefix
MainTabWindow_Research.DrawRightRect: TRANS: AlienRace.HarmonyPatches.ResearchScreenTranspiler
MainTabWindow_Research.ViewSize: TRANS: AlienRace.HarmonyPatches.ResearchScreenTranspiler
MainTabsRoot.HandleLowPriorityShortcuts: PRE: AchtungMod.MainTabsRoot_HandleLowPriorityShortcuts_Patch.Prefix, ReverseCommands.Patch2.Prefix
ManhunterPackIncidentUtility.GenerateAnimals: post: TargetingModes.HarmonyPatches.Postfix_GenerateAnimals
Map.ConstructComponents: post: HugsLib.Patches.Map_ConstructComponents_Patch.MapComponentsInitHook
Map.ExposeData: PRE: PathAvoid.Patch_Map_ExposeData.Prefix
Map.FinalizeInit: post: HugsLib.Patches.Map_FinalizeInit_Patch.MapLoadedHook
Map.get_PlayerWealthForStoryteller: PRE: CRC_Reintegrated.MarvsMapWealthForStoryTeller.Prefix
MapComponentUtility.MapGenerated: post: HugsLib.Patches.MapComponentUtility_MapGenerated_Patch.MapGeneratedHook
MapDrawer.DrawMapMesh: PRE: ShipsHaveInsides.Mod.RenderPlanetBehindMap.PreDraw post: FrontierDevelopments.Shields.Harmony.Harmony_MapDrawer+Patch_DrawMapMesh.Postfix
MapGenerator.GenerateMap: PRE: PathAvoid.Patch_MapGenerator_GenerateMap.Prefix TRANS: MapReroll.Patches.MapGenerator_GenerateMap_Patch.RecordUsedMapGenerator
MapInterface.MapInterfaceOnGUI_AfterMainTabs: PRE: RimHUD.Patch.Verse_MapInterface_MapInterfaceOnGUI_AfterMainTabs.Prefix post: Merthsoft.DesignatorShapes.Patches.MapInterface_MapInterfaceOnGUI_AfterMainTabs.Postfix
MapInterface.Notify_SwitchedMap: post: RimHUD.Patch.Verse_MapInterface_Notify_SwitchedMap.Postfix
MapParent.RecalculateHibernatableIncidentTargets: post: WhatTheHack.Harmony.MapParent_RecalculateHibernatableIncidentTargets.Postfix
MapPawns.get_FreeColonistsSpawnedCount: post: CompVehicle.HarmonyCompVehicle.IncludeVehicleOccupantsInMapPawns
MapPawns.get_FreeColonistsSpawnedOrInPlayerEjectablePodsCount: post: CompVehicle.HarmonyCompVehicle.IncludeVehicleOccupantsInMapPawns
MapTemperature.get_OutdoorTemp: post: ShipsHaveInsides.Mod.MapTemperature_OutdoorTemp.ChooseSpaceWeather
MapTemperature.get_SeasonalTemp: post: ShipsHaveInsides.Mod.MapTemperature_SeasonalTemp.ChooseSpaceWeather
MassUtility.Capacity: PRE: WhatTheHack.Harmony.MassUtility_Capacity.Prefix post: CompVehicle.HarmonyCompVehicle.Capacity_PostFix, CPBackpacks.HarmonyBackpacks.Capacity_PostFix, DraftingPatcher.MassUtility_Capacity_Patch.MakeThemCarryMore, GiddyUpCaravan.Harmony.MassUtility_Capacity.Postfix, WhatTheHack.Harmony.MassUtility_Capacity.Postfix
MassUtility.CountToPickUpUntilOverEncumbered: post: SurvivalTools.HarmonyPatches.Postfix_CountToPickUpUntilOverEncumbered
MassUtility.WillBeOverEncumberedAfterPickingUp: post: SurvivalTools.HarmonyPatches.Postfix_WillBeOverEncumberedAfterPickingUp
Medicine.GetMedicineCountToFullyHeal: TRANS: SmartMedicine.GetMedicineCountToFullyHeal_Patch.Transpiler
MemoryThoughtHandler.TryGainMemory: PRE: AlienRace.HarmonyPatches.TryGainMemoryThoughtPrefix
MemoryUtility.ClearAllMapsAndWorld: PRE: RimHUD.Patch.Verse_Profile_MemoryUtility_ClearAllMapsAndWorld.Prefix
MentalBreaker.MentalBreakerTick: post: AchtungMod.MentalBreaker_MentalBreakerTick_Patch.Postfix
MentalBreaker.TryDoRandomMoodCausedMentalBreak: post: Psychology.Harmony.MentalBreaker_AnxietyPatch.AddAnxiety
MentalBreaker.get_CurrentPossibleMoodBreaks: post: StraitJacket.HarmonyStraitJacket.CurrentPossibleMoodBreaksPostFix
MentalStateHandler.TryStartMentalState: post: RunAndGun.Harmony.MentalStateHandler_TryStartMentalState.Postfix
MentalStateWorker_BingingDrug.StateCanOccur: post: Psychology.Harmony.MentalStateWorker_BingingDrugPatch.DrugFreeDisable
MentalState_SocialFighting.PostEnd: post: Psychology.Harmony.MentalState_SocialFighting_ThoughtPatch.WhoWon TRANS: Psychology.Harmony.MentalState_SocialFighting_PersonalityPatch.AddPersonalityHook
MentalState_SocialFighting.get_ShouldStop: PRE: UghYouGotMe.Marvs_MentalState_PleaseJustGiveUpWhenSocialFighting.Prefix
Mineable.Notify_TookMiningDamage: TRANS: SurvivalTools.HarmonyPatches.Transpile_ResetTicksToPickHit
MinifiedThing.DrawAt: PRE: Hospitality.Harmony.MinifiedThing_Patch+DrawAt.Prefix
MinifyUtility.Uninstall: PRE: WhatTheHack.Harmony.MinifyUtility_Uninstall.Prefix
ModContentPack.ToString: PRE: Hospitality.Harmony.ModContentPack_Patch+_ToString.Replacement
ModMetaData.get_VersionCompatible: post: MultiVersionModFix.VersionCompatible_Postfix
ModsConfig.RestartFromChangedMods: PRE: HugsLib.Patches.ModsConfig_RestartFromChangedMods_Patch.QuickRestartInDevMode
MoteMaker.ThrowText: PRE: CameraPlus.MoteMaker_ThrowText_Patch.Prefix
MouseoverReadout.MouseoverReadoutOnGUI: PRE: CultOfCthulhu.HarmonyPatches.MouseoverReadoutOnGUI, DubsBadHygiene.HamonyPatches+Patch_MouseoverReadoutOnGUI.Prefix post: DubsBadHygiene.HamonyPatches+Patch_MouseoverReadoutOnGUI.Postfix
NameGenerator.GenerateName: PRE: [700]RTPN_Code.NameGenerator_GenerateName.Prefix
Need_Outdoors.NeedInterval: TRANS: Psychology.Harmony.Need_OutdoorsPatch.OutdoorsyModifier
Need_Rest.NeedInterval: post: Psychology.Harmony.Need_Rest_IntervalDreamPatch.CauseDream, Psychology.Harmony.Need_Rest_IntervalInsomniacPatch.MakeInsomniacLessRestful
NeedsCardUtility.DrawThoughtGroup: PRE: Therapy.Patches.NeedsCardUtility_Patch+DrawThoughtGroup.DrawTherapyIcon
NegativeInteractionUtility.NegativeInteractionChanceFactor: post: Psychology.Harmony.NegativeInteractionUtility_ChancePatch.NewFormula
OutfitDatabase.ExposeData: post: Outfitted.Database.OutfitDatabase_ExposeData_Patch.Postfix
OutfitDatabase.GenerateStartingOutfits: PRE: Outfitted.Database.OutfitDatabase_GenerateStartingOutfits_Patch.Prefix
OutfitDatabase.MakeNewOutfit: TRANS: Outfitted.Database.OutfitDatabase_MakeNewOutfit_Patch.Transpiler
OutfitDatabase.TryDelete: post: ChangeDresser.Patch_OutfitDatabase_TryDelete.Postfix
OverallTemperatureUtility.GetTemperatureCurve: post: Planets_Code.OverallTemperatureUtility_GetTemperatureCurve.Postfix
PageUtility.StitchedPages: post: [600]Planets_Code.PageUtility_StitchedPages.Postfix, PrepareLanding.Patches.PageUtilityPatch.StitchedPagesPostFix
Page_ConfigureStartingPawns.CanDoNext: post: AlienRace.HarmonyPatches.CanDoNextStartPawnPostfix
Page_ConfigureStartingPawns.DoWindowContents: post: EdB.PrepareCarefully.HarmonyPatches.DoWindowContentsPostfix
Page_ConfigureStartingPawns.DrawPortraitArea: post: FacialStuff.Harmony.HarmonyPatchesFS.AddFaceEditButton
Page_ConfigureStartingPawns.PreOpen: post: EdB.PrepareCarefully.HarmonyPatches.PreOpenPostfix
Page_CreateWorldParams.DoWindowContents: TRANS: WorldGenRules.RulesOverrider+Page_CreateWorldParams_DoWindowContents_Patch.Transpiler
Page_ModsConfig.DoWindowContents: TRANS: CameraPlus.CrossPromotion.Page_ModsConfig_DoWindowContents_Transpiler
Page_ModsConfig.PostClose: post: CameraPlus.CrossPromotion.Page_ModsConfig_PostClose_Postfix
PanelBackstory.DrawPanelContent: post: FacialStuff.Harmony.Optional.PrepC.PanelBackstory_Postfix.AddFaceEditButton, Psychology.Harmony.Optional.PanelBackstoryPatch.AddPsycheEditButton
PathFinder.FindPath: PRE: RIMMSqol.pathfinding.PathfindingFix.Prefix
PathFinder.GetBuildingCost: PRE: FluffyManager.PathFinder_GetBuildingCost.Prefix post: DoorsExpanded.HarmonyPatches.GetBuildingCost_PostFix
Pawn.ButcherProducts: post: AnimalsLogic.TastesLikeChicken+Pawn_ButcherProducts_Patch.Postfix, BoneMod.HarmonyPatches.ButcherProducts_PostFix
Pawn.ChangeKind: PRE: AlienRace.HarmonyPatches.ChangeKindPrefix
Pawn.CheckAcceptArrest: PRE: ExpandedIncidents.Harmony.Pawn_AcceptArrestPatch.RevealSaboteur TRANS: Psychology.Harmony.Pawn_ArrestPatch.SwapArrestChance
Pawn.CurrentlyUsableForBills: post: CompVehicle.HarmonyCompVehicle.CantUseMovingVehicles, WhatTheHack.Harmony.Pawn_CurrentlyUsableForBills.Postfix
Pawn.DeSpawn: PRE: UseBedrolls.ExitMapSaver.Prefix, ImprovedWorkbenches.Detours.Pawn_DeSpawn_Detour.Prefix post: AchtungMod.Pawn_DeSpawn_Patch.Postfix
Pawn.Destroy: post: SmartMedicine.Destroy_Pawn_Patch.Postfix
Pawn.DropAndForbidEverything: PRE: WhatTheHack.Harmony.Pawn_DropAndForbidEverything.Prefix
Pawn.ExitMap: PRE: CompActivatableEffect.HarmonyCompActivatableEffect.ExitMap_PreFix
Pawn.GetGizmos: post: AllowTool.Patches.Pawn_GetGizmos_Patch.InsertPartyHuntGizmo, UseBedrolls.PickBackUpBedGizmo.Postfix, CompActivatableEffect.HarmonyCompActivatableEffect.GetGizmosPrefix, CompSlotLoadable.HarmonyCompSlotLoadable.GetGizmos_PostFix, TargetingModes.HarmonyPatches.Postfix_GetGizmos, ChangeDresser.Patch_Pawn_GetGizmos.Postfix, DraftingPatcher.Pawn_GetGizmos_Patch.AddGizmo, RunAndGun.Harmony.Pawn_DraftController_GetGizmos_Patch.Postfix, SimpleSidearms.intercepts.Pawn_GetGizmos_Postfix.GetGizmos, WhatTheHack.Harmony.Pawn_GetGizmos.Postfix
Pawn.GetInspectString: post: AchtungMod.Pawn_GetInspectString_Patch.Postfix
Pawn.GiveSoldThingToPlayer: PRE: Hospitality.Harmony.Pawn_Patch+GiveSoldThingToPlayer.Prefix
Pawn.GiveSoldThingToTrader: PRE: Hospitality.Harmony.Pawn_Patch+GiveSoldThingToTrader.Prefix
Pawn.Kill: PRE: [800]ChangeDresser.Patch_Pawn_Kill.Prefix, [800]WeaponStorage.Patch_Pawn_Kill.Prefix, WhatTheHack.Harmony.Pawn_Kill.Prefix post: [800]ChangeDresser.Patch_Pawn_Kill.Postfix, [800]WeaponStorage.Patch_Pawn_Kill.Postfix, TechAdvancing.TA_OnKill_Event.Postfix, Rimatomics.HarmonyPatches+Harmony_Pawn_Kill.Postfix, AvoidFriendlyFire.Pawn_Kill_Patch.Postfix
Pawn.PostApplyDamage: post: CompSlotLoadable.HarmonyCompSlotLoadable.PostApplyDamage_PostFix
Pawn.PreTraded: post: Psychology.Harmony.Pawn_PreTradedPatch.BleedingHeartThought
Pawn.SetFaction: PRE: TechAdvancing.TA_OnNewPawn_Event.Prefix, Hospitality.Harmony.Pawn_Patch+SetFaction.Prefix post: AlienRace.HarmonyPatches.SetFactionPostfix, TechAdvancing.TA_PostOnNewPawn_Event.Postfix
Pawn.SpawnSetup: post: UseBedrolls.SpawnClaimHomeBed.Postfix, ImprovedWorkbenches.Detours.Pawn_SpawnSetup_Detour.Postfix
Pawn.ThreatDisabled: post: ExpandedIncidents.Harmony.Pawn_ThreatDisabledPatch.IgnoreThief
Pawn.Tick: post: PawnShields.HarmonyPatches.Patch_Pawn_Tick, DualWield.Harmony.Pawn_Tick.Postfix
Pawn.TicksPerMove: post: RunAndGun.Harmony.Pawn_TicksPerMove.Postfix, [200]GiddyUpCore.Harmony.Pawn_TicksPerMove.Postfix, [200]GiddyUpCaravan.Harmony.Pawn_TicksPerMove.Postfix
Pawn.TryGetAttackVerb: PRE: AlphaAnimalRangeAttack.ARA__VerbCheck_Patch.Prefix, AnimalRangeAttack.ARA__VerbCheck_Patch.Prefix
Pawn.TryStartAttack: post: DualWield.Harmony.Pawn_TryStartAttack.Postfix
Pawn.VerifyReservations: PRE: Hospitality.Harmony.Pawn_Patch+VerifyReservations.Prefix
Pawn.get_BodySize: post: CultOfCthulhu.HarmonyPatches.get_BodySize_PostFix
Pawn.get_CurrentEffectiveVerb: post: DualWield.Harmony.Pawn_get_CurrentEffectiveVerb.Postfix
Pawn.get_DrawPos: PRE: GiddyUpCore.Harmony.Pawn_get_DrawPos.Prefix
Pawn.get_HealthScale: post: CultOfCthulhu.HarmonyPatches.get_HealthScale_PostFix
Pawn.get_IsColonistPlayerControlled: PRE: WhatTheHack.Harmony.Pawn_get_IsColonistPlayerControlled.Prefix post: CompVehicle.HarmonyCompVehicle.IncludeVehiclesInIsColonistPlayerControlled
PawnApparelGenerator.GenerateStartingApparelFor: PRE: AlienRace.HarmonyPatches.GenerateStartingApparelForPrefix, CosmicHorror.HarmonyPatches.GenerateStartingApparelFor_PreFix post: JecsTools.HarmonyPatches.GenerateStartingApparelFor_PostFix, AlienRace.HarmonyPatches.GenerateStartingApparelForPostfix
PawnApparelGenerator.GenerateWorkingPossibleApparelSetFor: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
PawnBioAndNameGenerator.FillBackstorySlotShuffled: PRE: AlienRace.HarmonyPatches.FillBackstoryInSlotShuffledPrefix, [200]REB_Code.Patch_PawnBioAndNameGenerator_FillBackstorySlotShuffled.Prefix
PawnBioAndNameGenerator.GeneratePawnName: PRE: [700]RTPN_Code.PawnBioAndNameGenerator_GeneratePawnName.Prefix, AlienRace.HarmonyPatches.GeneratePawnNamePrefix, [200]REB_Code.PawnBioAndNameGenerator_GeneratePawnName.Prefix
PawnBioAndNameGenerator.GeneratePawnName_Shuffled: PRE: [200]REB_Code.PawnBioAndNameGenerator_GeneratePawnName_Shuffled.Prefix
PawnBioAndNameGenerator.GetBackstoryCategoriesFor: post: REB_Code.PawnBioAndNameGenerator_GetBackstoryCategoriesFor.Postfix TRANS: AlienRace.HarmonyPatches.GetBackstoryCategoriesForTranspiler
PawnBioAndNameGenerator.GiveAppropriateBioAndNameTo: PRE: REB_Code.Patch_PawnBioAndNameGenerator_GiveAppropriateBioAndNameTo.Prefix post: AlienRace.HarmonyPatches.GiveAppropriateBioAndNameToPostfix
PawnBioAndNameGenerator.GiveShuffledBioTo: post: REB_Code.Patch_PawnBioAndNameGenerator_GiveShuffledBioTo.Postfix
PawnBioAndNameGenerator.TryGetRandomUnusedSolidBioFor: post: AlienRace.HarmonyPatches.TryGetRandomUnusedSolidBioForPostfix
PawnBioAndNameGenerator.TryGetRandomUnusedSolidName: PRE: REB_Code.Patch_PawnBioAndNameGenerator_TryGetRandomUnusedSolidName.Prefix
PawnCapacitiesHandler.CapableOf: post: WhatTheHack.Harmony.PawnCapacitiesHandler_CapableOf.Postfix
PawnCapacityUtility.CalculateLimbEfficiency: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
PawnCapacityUtility.CalculateTagEfficiency: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
PawnCapacityWorker_BloodFiltration.CalculateCapacityLevel: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
PawnColumnWorker.DoHeader: TRANS: Numbers.Numbers.UseWordWrapOnHeaders
PawnColumnWorker.HeaderClicked: PRE: Numbers.Numbers.RightClickToRemoveHeader
PawnColumnWorker_Label.DoCell: post: Numbers.Numbers.AddHighlightToLabel_PostFix TRANS: Numbers.Numbers.AddHighlightToLabel_Transpiler
PawnColumnWorker_Text.DoCell: TRANS: Numbers.Numbers.CentreCell
PawnColumnWorker_WorkType.HeaderInteractions: PRE: Numbers.Numbers.RightClickToRemoveHeader
PawnComponentsUtility.AddAndRemoveDynamicComponents: post: DraftingPatcher.PawnComponentsUtility_AddAndRemoveDynamicComponents_Patch.AddDraftability, WhatTheHack.Harmony.PawnComponentsUtility_AddAndRemoveDynamicComponents.Postfix
PawnComponentsUtility.AddComponentsForSpawn: post: DualWield.Harmony.PawnComponentsUtility_AddComponentsForSpawn.Postfix
PawnDiedOrDownedThoughtsUtility.AppendThoughts_ForHumanlike: post: Psychology.Harmony.PawnDiedOrDownedThoughtUtility_AppendThoughtsPatch.AppendPsychologyThoughts
PawnDownedWiggler.WigglerTick: PRE: CompVehicle.HarmonyCompVehicle.VehicleShouldWiggle
PawnGenerator.GenerateBodyType: PRE: REB_Code.Patch_PawnGenerator_GenerateBodyType.Prefix post: AlienRace.HarmonyPatches.GenerateBodyTypePostfix
PawnGenerator.GenerateGearFor: post: PawnShields.HarmonyPatches.Patch_PawnGenerator_GenerateGearFor, AlienRace.HarmonyPatches.GenerateGearForPostfix, SimpleSidearms.intercepts.PawnGenerator_GenerateGearFor_Postfix.GenerateGearFor
PawnGenerator.GenerateInitialHediffs: post: AlienRace.HarmonyPatches.GenerateInitialHediffsPostfix
PawnGenerator.GeneratePawn: PRE: AlienRace.HarmonyPatches.GeneratePawnPrefix post: JecsTools.HarmonyPatches.Post_GeneratePawn
PawnGenerator.GeneratePawnRelations: PRE: AlienRace.HarmonyPatches.GeneratePawnRelationsPrefix
PawnGenerator.GenerateRandomAge: PRE: AlienRace.HarmonyPatches.GenerateRandomAgePrefix
PawnGenerator.GenerateSkills: post: PawnsAreCapable.PawnGenerator_GenerateSkills.Postfix
PawnGenerator.GenerateTraits: PRE: [800]Psychology.Harmony.PawnGenerator_GenerateTraitsPatch.KinseyException, AlienRace.HarmonyPatches.GenerateTraitsPrefix post: Psychology.Harmony.PawnGenerator_GenerateTraitsSiblingsPatch.TaraiSiblings TRANS: [700]MoreTraitSlots.PawnGenerator_GenerateTraits.Transpiler, AlienRace.HarmonyPatches.GenerateTraitsTranspiler
PawnGraphicSet.ResolveAllGraphics: PRE: AlienRace.HarmonyPatches.ResolveAllGraphicsPrefix post: FacialStuff.Harmony.HarmonyPatchesFS.ResolveAllGraphics_Postfix
PawnGraphicSet.ResolveApparelGraphics: post: FacialStuff.Harmony.HarmonyPatchesFS.ResolveApparelGraphics_Postfix
PawnGroupKindWorker.GeneratePawns: post: AbilityUser.AbilityUserMod.GeneratePawns_PostFix, CPAbilityUser.StandaloneAbilityUserMod.GeneratePawns_PostFix
PawnGroupKindWorker_Trader.GenerateGuards: post: RimQuest.HarmonyPatches.AddQuestGiverTwo
PawnGroupMakerUtility.GeneratePawns: post: JecsTools.HarmonyPatches.GeneratePawns, TargetingModes.HarmonyPatches.Postfix_GeneratePawns
PawnHairChooser.RandomHairDefFor: PRE: AlienRace.HarmonyPatches.RandomHairDefForPrefix, FacialStuff.Harmony.HarmonyPatchesFS.RandomHairDefFor_PreFix
PawnNameColorUtility.PawnNameColorOf: PRE: Hospitality.Harmony.PawnNameColorUtility_Patch+PawnNameColorOf.Prefix
PawnObserver.ObserveSurroundingThings: TRANS: Psychology.Harmony.PawnObserver_ObserveSurroundingPatch.DesensitizeViaCorpse
PawnPathPool.GetEmptyPawnPath: TRANS: ReverseCommands.Patch0.Transpiler
PawnPathUtility.FirstBlockingBuilding: post: DoorsExpanded.HarmonyPatches.FirstBlockingBuilding_PostFix
PawnPathUtility.TryFindLastCellBeforeBlockingDoor: PRE: DoorsExpanded.HarmonyPatches.ManhunterJobGiverFix
PawnRelationDef.GetGenderSpecificLabel: PRE: AlienRace.HarmonyPatches.GetGenderSpecificLabelPrefix
PawnRelationWorker_Child.GenerationChance: post: AlienRace.HarmonyPatches.GenerationChanceChildPostfix
PawnRelationWorker_ExLover.GenerationChance: post: AlienRace.HarmonyPatches.GenerationChanceExLoverPostfix
PawnRelationWorker_ExSpouse.GenerationChance: post: AlienRace.HarmonyPatches.GenerationChanceExSpousePostfix
PawnRelationWorker_Fiance.GenerationChance: post: AlienRace.HarmonyPatches.GenerationChanceFiancePostfix
PawnRelationWorker_Lover.GenerationChance: post: AlienRace.HarmonyPatches.GenerationChanceLoverPostfix
PawnRelationWorker_Parent.GenerationChance: post: AlienRace.HarmonyPatches.GenerationChanceParentPostfix
PawnRelationWorker_Sibling.GenerateParent: PRE: Psychology.Harmony.PawnRelationWorker_Sibling_GenerateParentPatch.KinseyException
PawnRelationWorker_Sibling.GenerationChance: post: AlienRace.HarmonyPatches.GenerationChanceSiblingPostfix
PawnRelationWorker_Spouse.GenerationChance: post: AlienRace.HarmonyPatches.GenerationChanceSpousePostfix
PawnRenderer.BaseHeadOffsetAt: post: AlienRace.HarmonyPatches.BaseHeadOffsetAtPostfix, SuppressionMod.Patch_Verse_PawnRenderer_BaseHeadOffsetAt.OffsetSuppressedHead
PawnRenderer.DrawEquipment: TRANS: DualWield.Harmony.PawnRenderer_DrawEquipment.Transpiler
PawnRenderer.DrawEquipmentAiming: PRE: CompInstalledPart.HarmonyCompInstalledPart.DrawEquipmentAiming_PreFix, CompOversizedWeapon.HarmonyCompOversizedWeapon.DrawEquipmentAimingPreFix, FacialStuff.Harmony.HarmonyPatchesFS.DrawEquipmentAiming_Prefix, Rimlaser.PatchGunDrawing.Prefix post: CompActivatableEffect.HarmonyCompActivatableEffect.DrawEquipmentAimingPostFix, CompDeflector.HarmonyCompDeflector.DrawEquipmentAimingPostFix TRANS: FacialStuff.Harmony.HarmonyPatchesFS.DrawEquipmentAiming_Transpiler
PawnRenderer.LayingFacing: PRE: Therapy.Patches.PawnRenderer_Patch+LayingFacing.Replacement TRANS: AlienRace.HarmonyPatches.PostureTranspiler
PawnRenderer.RenderPawnAt: post: PawnShields.HarmonyPatches.Patch_PawnRenderer_RenderPawnAt, RimQuest.HarmonyPatches.RenderPawnAt, DualWield.Harmony.PawnRenderer_RenderPawnAt.Postfix TRANS: AlienRace.HarmonyPatches.PostureTranspiler, FacialStuff.Harmony.HarmonyPatchesFS.RenderPawnAt_Transpiler
PawnRenderer.RenderPawnInternal: PRE: FacialStuff.Harmony.HarmonyPatch_PawnRenderer.Prefix, DubsBadHygiene.HamonyPatches+Patch_PawnRenderer.Prefix, SuppressionMod.Patch_Verse_PawnRenderer_RenderPawnInternal.TestMethod TRANS: AlienRace.HarmonyPatches.RenderPawnInternalTranspiler
PawnSkinColors.GetMelaninCommonalityFactor: PRE: FacialStuff.Genetics.PawnSkinColors_FS.GetMelaninCommonalityFactor_Prefix
PawnSkinColors.GetSkinColor: PRE: FacialStuff.Genetics.PawnSkinColors_FS.GetSkinColor_Prefix
PawnSkinColors.GetSkinDataIndexOfMelanin: PRE: FacialStuff.Genetics.PawnSkinColors_FS.GetSkinDataIndexOfMelanin_Prefix
PawnSkinColors.RandomMelanin: PRE: FacialStuff.Genetics.PawnSkinColors_FS.RandomMelanin_Prefix
PawnTable.PawnTableOnGUI: PRE: WorkTab.PawnTable_PawnTableOnGUI.Prefix TRANS: Numbers.Numbers.MakeHeadersReOrderable
PawnTable.RecacheIfDirty: PRE: WorkTab.PawnTable_RecacheIfDirty.Prefix post: WorkTab.PawnTable_RecacheIfDirty.Postfix
PawnUIOverlay.DrawPawnGUIOverlay: PRE: ExpandedIncidents.Harmony.PawnUIOverlayPatch.ThiefException post: RimQuest.HarmonyPatches.DrawPawnGUIOverlay
PawnUtility.FertileMateTarget: PRE: Fluffy_BirdsAndBees.PawnUtility_FertileMateTarget.Prefix
PawnUtility.GetAvoidGrid: post: PathAvoid.Patch_PawnUtility_GetAvoidGrid.Postfix, RemoteTech.Patches.PawnUtility_GetAvoidGrid_Patch.ReturnFriendlyAvoidGrid
PawnUtility.IsFighting: post: Arachnophobia.HarmonyPatches.IsFighting_PostFix
PawnUtility.IsTravelingInTransportPodWorldObject: post: CompVehicle.HarmonyCompVehicle.PreventAssigningRandomFaction, CultOfCthulhu.HarmonyPatches.IsTravelingInTransportPodWorldObject_PostFix
PawnUtility.KnownDangerAt: post: RemoteTech.Patches.PawnUtility_KnownDangerAt_Patch.ConsiderFriendlyAvoidGrid
PawnUtility.Mated: PRE: Fluffy_BirdsAndBees.PawnUtility_Mated.Prefix
PawnUtility.ShouldSendNotificationAbout: post: Hospitality.Harmony.PawnUtility_Patch+ShouldSendNotificationAbout.Postfix
PawnUtility.WillSoonHaveBasicNeed: post: DubsBadHygiene.HamonyPatches+Patch_WillSoonHaveBasicNeed.Postfix
PawnWeaponGenerator.Reset: post: SimpleSidearms.intercepts.PawnWeaponGenerator_Reset_Postfix.Reset
PawnWeaponGenerator.TryGenerateWeaponFor: PRE: AlienRace.HarmonyPatches.TryGenerateWeaponForPrefix post: AlienRace.HarmonyPatches.TryGenerateWeaponForPostfix, DualWield.Harmony.PawnWeaponGenerator_TryGenerateWeaponFor.Postfix
Pawn_AgeTracker.BirthdayBiological: PRE: AlienRace.HarmonyPatches.BirthdayBiologicalPrefix
Pawn_AgeTracker.RecalculateLifeStageIndex: post: AlienRace.HarmonyPatches.RecalculateLifeStageIndexPostfix
Pawn_ApparelTracker.CanWearWithoutDroppingAnything: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
Pawn_ApparelTracker.Notify_ApparelAdded: PRE: ChangeDresser.Patch_Pawn_ApparelTracker_Notify_ApparelAdded.Prefix post: AbilityUser.AbilityUserMod.Notify_ApparelAdded_PostFix, CPAbilityUser.StandaloneAbilityUserMod.Notify_ApparelAdded_PostFix
Pawn_ApparelTracker.Notify_ApparelRemoved: post: AbilityUser.AbilityUserMod.Notify_ApparelRemoved_PostFix, CPAbilityUser.StandaloneAbilityUserMod.Notify_ApparelRemoved_PostFix, ChangeDresser.Patch_Pawn_ApparelTracker_Notify_ApparelRemoved.Postfix
Pawn_ApparelTracker.SortWornApparelIntoDrawOrder: PRE: VisiblePants.HarmonyPatches.Detour_SortWornApparelIntoDrawOrder
Pawn_ApparelTracker.TryDrop: PRE: Hospitality.Harmony.Pawn_ApparelTracker_Patch+TryDrop.Replacement
Pawn_ApparelTracker.Wear: post: Shield.Harmonypatches.ShieldPatchWearApparel TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
Pawn_DraftController.GetGizmos: post: ChangeDresser.Patch_Pawn_DraftController_GetGizmos.Postfix, WeaponStorage.HarmonyPatches+Patch_Pawn_DraftController_GetGizmos.Postfix, AvoidFriendlyFire.Pawn_DraftController_GetGizmos_Patch.Postfix, DefensivePositions.DraftController_GetGizmos_Patch.InsertDefensivePositionGizmo, SearchAndDestroy.Harmony.Pawn_DraftController_GetGizmos.Postfix, WhatTheHack.Harmony.Pawn_DraftController_GetGizmos.Postfix
Pawn_DraftController.set_Drafted: PRE: CompVehicle.HarmonyCompVehicle.DraftedVehiclesCanMove post: AllowTool.Patches.DraftController_Drafted_Patch.NotifyPawnUndrafted, CompActivatableEffect.HarmonyCompActivatableEffect.set_DraftedPostFix, ChangeDresser.Patch_Pawn_DraftController.Postfix, WeaponStorage.Patch_Pawn_DraftController.Postfix, AvoidFriendlyFire.Pawn_DraftController_set_Drafted_Patch.Postfix, SearchAndDestroy.Harmony.Pawn_DraftController_set_Drafted.Postfix, WhatTheHack.Harmony.Pawn_Draftcontroller_set_Drafted.Postfix
Pawn_DrawTracker.DrawAt: post: CultOfCthulhu.HarmonyPatches.DrawAt_PostFix
Pawn_DrawTracker.get_DrawPos: post: FacialStuff.Harmony.DrawPos_Patch.Postfix
Pawn_EquipmentTracker.AddEquipment: PRE: [800]WeaponStorage.Patch_Pawn_AddEquipment.Prefix post: Shield.Harmonypatches.ShieldPatchAddEquipment, DualWield.Harmony.Pawn_EquipmentTracker_AddEquipment.Postfix, SimpleSidearms.intercepts.Pawn_EquipmentTracker_AddEquipment_Postfix.AddEquipment TRANS: DualWield.Harmony.Pawn_EquipmentTracker_AddEquipment.Transpiler
Pawn_EquipmentTracker.MakeRoomFor: PRE: [800]WeaponStorage.Patch_Pawn_EquipmentTracker_MakeRoomFor.Prefix, DualWield.Harmony.Pawn_EquipmentTracker_MakeRoomFor.Prefix post: PawnShields.HarmonyPatches.Patch_Pawn_EquipmentTracker_MakeRoomFor
Pawn_EquipmentTracker.Notify_EquipmentAdded: post: AbilityUser.AbilityUserMod.Notify_EquipmentAdded_PostFix, CPAbilityUser.StandaloneAbilityUserMod.Notify_EquipmentAdded_PostFix
Pawn_EquipmentTracker.Notify_EquipmentRemoved: post: AbilityUser.AbilityUserMod.Notify_EquipmentRemoved_PostFix, CPAbilityUser.StandaloneAbilityUserMod.Notify_EquipmentRemoved_PostFix
Pawn_EquipmentTracker.TryDropEquipment: PRE: [800]WeaponStorage.Patch_Pawn_EquipmentTracker_TryDropEquipment.Prefix, CompActivatableEffect.HarmonyCompActivatableEffect.TryDropEquipment_PreFix, CompInstalledPart.HarmonyCompInstalledPart.TryDropEquipment_PreFix post: [800]WeaponStorage.Patch_Pawn_EquipmentTracker_TryDropEquipment.Postfix, ProfitableWeapons.HarmonyPatches.PostfixTryDropEquipment
Pawn_Extensions.AllowedToDo: post: PawnsAreCapable.WorkTab_Pawn_Extensions_AllowedToDo.Postfix
Pawn_FilthTracker.TryPickupFilth: post: DubsBadHygiene.HamonyPatches+Patch_TryPickupFilth.Postfix
Pawn_GuestTracker.SetGuestStatus: PRE: Hospitality.Harmony.Pawn_GuestTracker_Patch+SetGuestStatus.Prefix
Pawn_HealthTracker.CheckForStateChange: post: AlienRace.HarmonyPatches.CheckForStateChangePostfix TRANS: WhatTheHack.Harmony.Pawn_HealthTracker_CheckForStateChange.Transpiler
Pawn_HealthTracker.HasHediffsNeedingTend: PRE: WhatTheHack.Harmony.Pawn_HealthTracker_HasHediffsNeedingTend.Prefix
Pawn_HealthTracker.HealthTick: post: WhatTheHack.Harmony.Pawn_HealthTracker_HealthTick.Postfix
Pawn_HealthTracker.MakeDowned: PRE: [800]WeaponStorage.Patch_Pawn_HealthTracker_MakeDowned.Prefix post: GiddyUpCore.Harmony.Pawn_HealthTracker_MakeDowned.Postfix, WhatTheHack.Harmony.Pawn_HealthTracker_MakeDowned.Postfix
Pawn_HealthTracker.MakeUndowned: PRE: [800]WeaponStorage.Patch_Pawn_HealthTracker_MakeUndowned.Prefix
Pawn_HealthTracker.PreApplyDamage: PRE: JecsTools.HarmonyPatches.PreApplyDamage_PrePatch, PawnShields.HarmonyPatches.Patch_Pawn_HealthTracker_PreApplyDamage
Pawn_HealthTracker.SetDead: post: GiddyUpCore.Harmony.Pawn_HealthTracker_SetDead.Postfix
Pawn_HealthTracker.ShouldBeDead: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
Pawn_HealthTracker.ShouldBeDeadFromRequiredCapacity: TRANS: DeathRattle.Harmony.ShouldBeDeadFromRequiredCapacityPatch.DeathRattleException
Pawn_HealthTracker.ShouldBeDowned: PRE: CompVehicle.HarmonyCompVehicle.VehicleShouldBeDowned
Pawn_InteractionsTracker.TryInteractRandomly: PRE: Hospitality.Harmony.Pawn_InteractionsTracker_Patch+TryInteractRandomly.Replacement
Pawn_InteractionsTracker.TryInteractWith: post: FacialStuff.Harmony.HarmonyPatchesFS.TryInteractWith_Postfix
Pawn_InventoryTracker.DropAllNearPawn: PRE: ProfitableWeapons.HarmonyPatches.PrefixDropAllNearPawn
Pawn_InventoryTracker.InventoryTrackerTickRare: post: SurvivalTools.HarmonyPatches.Postfix_InventoryTrackerTickRare
Pawn_InventoryTracker.Notify_ItemRemoved: post: PickUpAndHaul.HarmonyPatches.Pawn_InventoryTracker_PostFix, SurvivalTools.HarmonyPatches.Postfix_Notify_ItemRemoved
Pawn_InventoryTracker.get_FirstUnloadableThing: post: SurvivalTools.HarmonyPatches.Postfix_FirstUnloadableThing TRANS: SimpleSidearms.intercepts.Pawn_InventoryTracker_FirstUnloadableThing_Transpiler.Transpiler
Pawn_JobTracker.CleanupCurrentJob: PRE: SmartMedicine.CleanupCurrentJob_Patch.Prefix
Pawn_JobTracker.DetermineNextJob: post: GiddyUpCore.Harmony.Pawn_JobTracker_DetermineNextJob.Postfix, GiddyUpCaravan.Harmony.Pawn_JobTracker_DetermineNextJob.Postfix, GiddyUpRideAndRoll.Harmony.Pawn_Jobtracker_DetermineNextJob.Postfix, GiddyUpRideAndRoll.Harmony.Pawn_Jobtracker_DetermineNextJob2.Postfix, SearchAndDestroy.Harmony.Pawn_JobTracker_DetermineNextJob.Postfix, WhatTheHack.Harmony.Pawn_JobTracker_DetermineNextJob.Postfix, WhileYoureUp.HaulAdder.MyDetermineNextJob
Pawn_JobTracker.EndCurrentJob: PRE: Psychology.Harmony.Pawn_JobTracker_EndCurrentJobPatch.HeavySleeperTrait TRANS: AchtungMod.Pawn_JobTracker_EndCurrentJob_Patch.Transpiler
Pawn_JobTracker.Notify_MasterDraftedOrUndrafted: PRE: GiddyUpCore.Harmony.Pawn_JobTracker_Notify_MasterDraftedOrUndrafted.Prefix
Pawn_JobTracker.ShouldStartJobFromThinkTree: post: AchtungMod.Pawn_JobTracker_ShouldStartJobFromThinkTree_Patch.Postfix
Pawn_JobTracker.StartJob: PRE: GiddyUpCore.Harmony.Pawn_JobTracker_StartJob.Prefix post: AnimalsLogic.Come+Pawn_JobTracker_StartJob_Patch.Postfix
Pawn_MeleeVerbs.GetUpdatedAvailableVerbsList: post: DualWield.Harmony.Pawn_MeleeVerbs_GetUpdatedAvailableVerbsList.Postfix
Pawn_MeleeVerbs.TryMeleeAttack: post: DualWield.Harmony.Pawn_MeleeVerbs_TryMeleeAttack.Postfix
Pawn_MindState.CanStartFleeingBecauseOfPawnAction: post: Arachnophobia.HarmonyPatches.CanStartFleeingBecauseOfPawnAction
Pawn_MindState.StartManhunterBecauseOfPawnAction: PRE: Arachnophobia.HarmonyPatches.StartManhunterBecauseOfPawnAction_PreFix
Pawn_NeedsTracker.ShouldHaveNeed: PRE: Hospitality.Harmony.Pawn_NeedsTracker_Patch+ShouldHaveNeed.Prefix post: BaseRobot.Need_Battery_Patch.Postfix, DubsBadHygiene.HamonyPatches+Patch_ShouldHaveNeed.Postfix
Pawn_Ownership.Notify_ChangedGuestStatus: post: ExpandedIncidents.Harmony.Notify_ChangedGuestStatusPatch.MakePotentialSaboteur
Pawn_PathFollower.PatherTick: TRANS: DualWield.Harmony.Pawn_PathFollower_PatherTick.Transpiler
Pawn_PathFollower.SetupMoveIntoNextCell: post: DubsBadHygiene.HamonyPatches+Patch_SetupMoveIntoNextCell.Postfix
Pawn_PathFollower.TryRecoverFromUnwalkablePosition: TRANS: AchtungMod.Pawn_PathFollower_TryRecoverFromUnwalkablePosition_Patch.Transpiler
Pawn_PlayerSettings.GetGizmos: PRE: GiddyUpCore.Harmony.Pawn_PlayerSettings_GetGizmos.Prefix
Pawn_PlayerSettings.ResetMedicalCare: post: SmartMedicine.SurgeryUnlimited.SurgeryUnlimitedDefault.Postfix
Pawn_RelationsTracker.AddDirectRelation: PRE: RIMMSqol.PreventAnimalFamilies_Pawn_RelationsTracker_AddDirectRelation.Prefix
Pawn_RelationsTracker.CompatibilityWith: post: AlienRace.HarmonyPatches.CompatibilityWithPostfix
Pawn_RelationsTracker.Notify_RescuedBy: post: Psychology.Harmony.Notify_RescuedBy_BleedingHeartPatch.AddBleedingHeartThought
Pawn_RelationsTracker.SecondaryLovinChanceFactor: post: Psychology.Harmony.Pawn_RelationsTracker_LovinChancePatch.PsychologyFormula TRANS: AlienRace.HarmonyPatches.SecondaryLovinChanceFactorTranspiler
Pawn_RotationTracker.Face: PRE: RIMMSqol.performance.pawn_rotationtracker.Pawn_RotationTracker_Face.Prefix
Pawn_RotationTracker.FaceCell: PRE: RIMMSqol.performance.pawn_rotationtracker.Pawn_RotationTracker_FaceCell.Prefix
Pawn_RotationTracker.RotationTrackerTick: PRE: CompVehicle.HarmonyCompVehicle.VehicleRotatorTick
Pawn_RotationTracker.UpdateRotation: PRE: GiddyUpCore.Harmony.Pawn_RotationTracker_UpdateRotation.Prefix post: DualWield.Harmony.Pawn_RotationTracker_UpdateRotation.Postfix
Pawn_StoryTracker.OneOfWorkTypesIsDisabled: PRE: PawnsAreCapable.Pawn_StoryTracker_OneOfWorkTypesIsDisabled.Prefix
Pawn_StoryTracker.WorkTagIsDisabled: PRE: PawnsAreCapable.Pawn_StoryTracker_WorkTagIsDisabled.Prefix
Pawn_StoryTracker.WorkTypeIsDisabled: PRE: PawnsAreCapable.Pawn_StoryTracker_WorkTypeIsDisabled.Prefix
Pawn_StoryTracker.get_SkinColor: post: AlienRace.HarmonyPatches.SkinColorPostfix
Pawn_StoryTracker.get_TitleShort: post: Psychology.Harmony.Pawn_StoryTracker_MayorLabel.SetMayorLabel
Pawn_TimetableTracker..ctor: post: AutoOwl.HarmonyPatches+Patch_Pawn_TimetableTracker.Postfix
Pawn_TraderTracker.ColonyThingsWillingToBuy: post: ChangeDresser.Patch_TradeShip_ColonyThingsWillingToBuy.Postfix, InfiniteStorage.Patch_TradeShip_ColonyThingsWillingToBuy.Postfix, WeaponStorage.Patch_TradeShip_ColonyThingsWillingToBuy.Postfix
Pawn_TrainingTracker.TrainingTrackerTickRare: PRE: RIMMSqol.PreventTamenessDecay_Pawn_TrainingTracker_Pawn_TrainingTracker.Prefix post: RIMMSqol.PreventTamenessDecay_Pawn_TrainingTracker_Pawn_TrainingTracker.Postfix TRANS: AnimalsLogic.ForgetMeNot+Pawn_TrainingTracker_TrainingTrackerTickRare_Patch.Transpiler
Pawn_WorkSettings.CacheWorkGiversInOrder: PRE: WorkTab.Pawn_WorkSettings_CacheWorkGiversInOrder.Prefix
Pawn_WorkSettings.DisableAll: PRE: WorkTab.Pawn_WorkSettings_DisableAll.Prefix
Pawn_WorkSettings.EnableAndInitialize: post: PawnsAreCapable.Pawn_WorkSettings_EnableAndInitialize.Postfix
Pawn_WorkSettings.ExposeData: post: Therapy.Patches.Pawn_WorkSettings_Patch+ExposeData.Postfix, Hospitality.Harmony.Pawn_WorkSettings_Patch+ExposeData.Postfix
Pawn_WorkSettings.GetPriority: PRE: WorkTab.Pawn_WorkSettings_GetPriority.Prefix
Pawn_WorkSettings.SetPriority: PRE: WorkTab.Pawn_WorkSettings_SetPriority.Prefix
PawnsArrivalModeWorker_CenterDrop.TryResolveRaidSpawnCenter: post: Rimatomics.HarmonyPatches+Harmony_CenterDrop_TryResolveRaidSpawnCenter.Postfix
PawnsArrivalModeWorker_EdgeDrop.TryResolveRaidSpawnCenter: post: Rimatomics.HarmonyPatches+Harmony_EdgeDrop_TryResolveRaidSpawnCenter.Postfix
PawnsArrivalModeWorker_EdgeDropGroups.TryResolveRaidSpawnCenter: post: Rimatomics.HarmonyPatches+Harmony_EdgeDropGroups_TryResolveRaidSpawnCenter.Postfix
PawnsArrivalModeWorker_EdgeWalkIn.TryResolveRaidSpawnCenter: post: Rimatomics.HarmonyPatches+Harmony_EdgeWalkIn_TryResolveRaidSpawnCenter.Postfix
PawnsArrivalModeWorker_EdgeWalkInGroups.TryResolveRaidSpawnCenter: post: Rimatomics.HarmonyPatches+Harmony_EdgeWalkInGroups_TryResolveRaidSpawnCenter.Postfix
PawnsArrivalModeWorker_RandomDrop.TryResolveRaidSpawnCenter: post: Rimatomics.HarmonyPatches+Harmony_RandomDrop_TryResolveRaidSpawnCenter.Postfix
PlaceWorker_Conduit.AllowsPlacing: TRANS: Replace_Stuff.OverMineable.FixConduitPlaceWorker.Transpiler
PlaceWorker_Cooler.AllowsPlacing: PRE: Replace_Stuff.CoolersOverWalls.AllowBuildPlugged.Prefix
PlaceWorker_Cooler.DrawGhost: TRANS: Replace_Stuff.CoolersOverWalls.WideVentLocationGhost.Transpiler
PlanetShapeGenerator.DoGenerate: PRE: WorldGenRules.RulesOverrider+PlanetShapeGenerator_DoGenerate_Patch.Prefix, [200]Planets_Code.PlanetShapeGenerator_DoGenerate.Prefix
PlantToGrowSettableUtility.SetPlantToGrowCommand: PRE: DubsMintMenus.Patch_SetPlantToGrowCommand.Prefix
PlayDataLoader.DoPlayLoad: post: HugsLib.Patches.PlayDataLoader_Patch.InitModsHook
PlaySettings.DoPlaySettingsGlobalControls: post: Replace_Stuff.OverMineable.PlaySettings_BlueprintOverRockToggle.AddButton, RimHUD.Patch.RimWorld_PlaySettings_DoPlaySettingsGlobalControls.Postfix, Outfitted.PlaySettings_DoPlaySettingsGlobalControls_Patch.Postfix
PossibleApparelSet.GiveToPawn: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
PowerConnectionMaker.TryConnectToAnyPowerNet: PRE: WhatTheHack.Harmony.PowerConnectionMaker_TryConnectToAnyPowerNet.Prefix
PowerNet.PowerNetTick: TRANS: RT_SolarFlareShield.Patch_PowerNetTick.Transpiler
PresetLoaderVersion3.LoadPawn: post: FacialStuff.Harmony.Optional.PrepC.PresetLoader_Postfix.LoadFace
PresetLoaderVersion4.LoadPawn: post: Psychology.Harmony.Optional.PresetLoaderPatch.AddPsyche
PresetSaver.SaveToFile: TRANS: FacialStuff.Harmony.Optional.PrepC.PresetSaver_Postfix.SavePawnRef, Psychology.Harmony.Optional.PresetSaverPatch.SavePawnRef
PriorityWork.GetGizmos: post: AchtungMod.PriorityWork_GetGizmos_Patch.Postfix
Projectile.CanHit: post: JecsTools.HarmonyPatches.CanHit_PostFix, CPWeaponExt.HarmonyRailgun.CanHit_PostFix
Projectile.Launch: PRE: DualWield.Harmony.Projectile_Launch.Prefix post: Rimatomics.HarmonyPatches+Harmony_Projectile_Launch.Postfix
Projectile.Tick: TRANS: FrontierDevelopments.Shields.Module.RimworldModule.Harmony_Projectile+Patch_Tick.Transpiler
Projectile.get_DamageAmount: post: ProperShotguns.HarmonyPatches.PostfixDamageAmount
ProjectileProperties.get_StoppingPower: post: RangedStaggerRebalanced.HarmonyPatches.PostfixStoppingPower
RCellFinder.CanWanderToCell: PRE: DubsBadHygiene.HamonyPatches+Patch_CanWanderToCell.Prefix
RCellFinder.TryFindRandomCellInRegionUnforbidden: PRE: AllowTool.Patches.RCellFinder_TryFindRandomCellInRegionUnforbidden_Patch.SkipPlayerAvoidGridCells
RaceProperties.CanEverEat: post: AlienRace.HarmonyPatches.CanEverEat
Rand.EnsureStateStackEmpty: PRE: MapReroll.Patches.Rand_EnsureStateStackEmpty_Patch.OptionalStackChecks
Reachability.CanReach: post: Hospitality.Harmony.Reachability_Patch+CanReach.Postfix
ReachabilityUtility.CanReach: PRE: RimFridge.Patch_ReachabilityUtility_CanReach.Prefix
RecipeDef.get_AvailableNow: post: Rimatomics.HarmonyPatches+Harmony_RecipeDef_AvailableNow.Postfix
RecipeWorkerCounter.CountProducts: PRE: ImprovedWorkbenches.RecipeWorkerCounter_CountProducts_Detour.Postfix post: ChangeDresser.Patch_RecipeWorkerCounter_CountProducts.Postfix, InfiniteStorage.Patch_RecipeWorkerCounter_CountProducts.Postfix, WeaponStorage.Patch_RecipeWorkerCounter_CountProducts.Postfix, ImprovedWorkbenches.RecipeWorkerCounter_CountProducts_Detour.Postfix
Recipe_InstallArtificialBodyPart.ApplyOnPawn: PRE: Psychology.Harmony.Recipe_InstallArtificialBodyPart_ApplyPatch.BleedingHeartThought
Recipe_InstallImplant.ApplyOnPawn: PRE: Psychology.Harmony.Recipe_InstallImplant_ApplyPatch.BleedingHeartThought
Recipe_InstallNaturalBodyPart.ApplyOnPawn: PRE: Psychology.Harmony.Recipe_InstallNaturalBodyPart_ApplyPatch.BleedingHeartThought
Recipe_ModifyMechanoid.CanApplyOn: post: GiddyUpMechanoids.Harmony.WTH_Recipe_ModifyMechanoid_CanApplyOn.Postfix
Recipe_Surgery.CheckSurgeryFail: PRE: LessArbitrarySurgery.Harmony.Recipe_SurgeryFailPatch.CheckSurgeryFail post: Psychology.Harmony.Recipe_Surgery_FailPatch.BleedingHeartThought
RecordsUtility.Notify_BillDone: post: Psychology.Harmony.RecordsUtility_BillDonePatch.BleedingHeartThought
RefuelWorkGiverUtility.FindAllFuel: PRE: InfiniteStorage.HarmonyPatches+Patch_RefuelWorkGiverUtility_FindAllFuel.Prefix post: InfiniteStorage.HarmonyPatches+Patch_RefuelWorkGiverUtility_FindAllFuel.Postfix
RefuelWorkGiverUtility.FindBestFuel: PRE: InfiniteStorage.HarmonyPatches+Patch_RefuelWorkGiverUtility_FindBestFuel.Prefix post: InfiniteStorage.HarmonyPatches+Patch_RefuelWorkGiverUtility_FindBestFuel.Postfix
ReorderableWidget.Reorderable: TRANS: Numbers.Numbers.ReorderWidgetFromEventToInputTranspiler
ResearchManager.FinishProject: PRE: FluffyResearchTree.HarmonyPatches_Queue+DoCompletionDialog.Prefix
ResearchManager.ResearchPerformed: PRE: FluffyResearchTree.HarmonyPatches_Queue+ResearchPerformed.Prefix post: FluffyResearchTree.HarmonyPatches_Queue+ResearchPerformed.Postfix
ResearchProjectDef.CostFactor: post: TechAdvancing.TA_ReplaceResearchProjectDef.Postfix
ReservationManager.CanReserve: PRE: Share_The_Load.CanReserve_Patch.Prefix, Replace_Stuff.Replace.ReserveSharing.Prefix, InfiniteStorage.Patch_ReservationManager_CanReserve.Prefix post: ChangeDresser.Patch_ReservationManager_CanReserve.Postfix, WeaponStorage.Patch_ReservationManager_CanReserve.Postfix
ReservationManager.CanReserveStack: PRE: Replace_Stuff.Replace.ReserveSharing.Prefix
ReservationManager.FirstRespectedReserver: PRE: Replace_Stuff.Replace.ReserveSharing.Prefix
ReservationManager.IsReservedAndRespected: PRE: Replace_Stuff.Replace.ReserveSharing.Prefix
ReservationManager.IsReservedByAnyoneOf: PRE: Replace_Stuff.Replace.ReserveSharing.Prefix
ReservationManager.LogCouldNotReserveError: PRE: Replace_Stuff.Replace.ReserveSharing.Prefix, Hospitality.Harmony.ReservationManager_Patch+LogCouldNotReserveError.Prefix
ReservationManager.Release: PRE: Share_The_Load.Release_Patch.Prefix, Replace_Stuff.Replace.ReserveSharing.Prefix, InfiniteStorage.Patch_ReservationManager_Release.Prefix
ReservationManager.ReleaseAllClaimedBy: PRE: Share_The_Load.ReleaseAllClaimedBy_Patch.Prefix
ReservationManager.ReleaseClaimedBy: PRE: Share_The_Load.ReleaseClaimedBy_Patch.Prefix
ReservationManager.Reserve: PRE: Share_The_Load.Reserve_Patch.Prefix, Replace_Stuff.Replace.ReserveSharing.Prefix, InfiniteStorage.Patch_ReservationManager_Reserve.Prefix TRANS: AchtungMod.ReservationManager_Reserve_Patch.Transpiler
ReservationManager.ReservedBy: PRE: Replace_Stuff.Replace.ReserveSharing.Prefix
ReservationManager.ReservedBy: PRE: Replace_Stuff.Replace.ReserveSharing.Prefix
ResourceCounter.UpdateResourceCounts: post: InfiniteStorage.Patch_ResourceCounter_UpdateResourceCounts.Postfix
RestUtility.CanUseBedEver: PRE: WhatTheHack.Harmony.RestUtility_CanUseBedEver.Prefix post: AlienRace.HarmonyPatches.CanUseBedEverPostfix, Hospitality.Harmony.RestUtility_Patch+CanUseBedEver.Postfix
RestUtility.CurrentBed: PRE: WhatTheHack.Harmony.RestUtility_CurrentBed.Prefix
RestUtility.FindBedFor: PRE: WhatTheHack.Harmony.RestUtility_FindBedFor.Prefix post: CompVehicle.HarmonyCompVehicle.DontRescueVehicles
RestUtility.GetBedSleepingSlotPosFor: PRE: WhatTheHack.Harmony.RestUtility_GetBedSleepingSlotPosFor.Prefix
RestUtility.WakeUp: post: WhatTheHack.Harmony.RestUtility_WakeUp.Postfix
ReverseDesignatorDatabase.InitDesignators: post: AllowTool.Patches.ReverseDesignatorDatabase_Init_Patch.InjectReverseDesignators, Shoo.InitDesignators.Postfix, TurretExtensions.HarmonyPatches.PostfixInitDesignators
RoofUtility.CanHandleBlockingThing: post: SurvivalTools.HarmonyPatches.Postfix_CanHandleBlockingThing
RoofUtility.HandleBlockingThingJob: post: SurvivalTools.HarmonyPatches.Postfix_HandleBlockingThingJob
RoomStatWorker_Cleanliness.GetScore: post: DubsBadHygiene.HamonyPatches+Patch_GetScore.Postfix
Root.Start: post: RimHUD.Patch.Verse_PlayDataLoader_DoPlayLoad.Postfix
Root.Update: post: HugsLib.Patches.Root_Patch.UpdateHook
Root_Play.SetupForQuickTestPlay: TRANS: HugsLib.Patches.RootPlay_TestPlay_Patch.InjectCustomQuickstartSettings
Sandy_Detailed_RPG_GearTab.DrawThingRow: TRANS: SmartMedicine.DrawThingRow_Patch.Transpiler
Sandy_Detailed_RPG_GearTab.FillTab: TRANS: SmartMedicine.FillTab_Patch.Transpiler
Sandy_Detailed_RPG_GearTab.InterfaceDrop: post: SmartMedicine.InterfaceDrop_Patch.Postfix
SappersUtility.CanMineReasonablyFast: PRE: MoreMechanoids.Harmony.SappersUtility_Patch+CanMineReasonablyFast.Prefix
SappersUtility.HasBuildingDestroyerWeapon: PRE: MoreMechanoids.Harmony.SappersUtility_Patch+HasBuildingDestroyerWeapon.Prefix
SaveRecordPawnV3.ExposeData: post: FacialStuff.Harmony.Optional.PrepC.SaveRecordPawnV3_Postfix.ExposeFaceData
SaveRecordPawnV4.ExposeData: post: Psychology.Harmony.Optional.SaveRecordPawnV4Patch.ExposePsycheData
SavedGameLoaderNow.LoadGameFromSaveFileNow: post: Planets_Code.SavedGameLoaderNow_LoadGameFromSaveFileNow.Postfix
ScribeSaver.InitSaving: PRE: ChangeDresser.Patch_ScribeSaver_InitSaving.Prefix, InfiniteStorage.Patch_ScribeSaver_InitSaving.Prefix, WeaponStorage.Patch_ScribeSaver_InitSaving.Prefix
SectionLayer.ClearSubMeshes: post: ShipsHaveInsides.Mod.GenerateSpaceSubMesh.GenerateMesh
SectionLayer.DrawLayer: PRE: ShipsHaveInsides.Mod.HideLightingLayersInSpace.ShouldDraw post: ShipsHaveInsides.Mod.HideLightingLayersInSpace.Cleanup
SectionLayer_LightingOverlay.Regenerate: PRE: Dubs_Skylight.dubUtils+Patch_SectionLayer_LightingOverlay_Regenerate.Prefix post: Dubs_Skylight.dubUtils+Patch_SectionLayer_LightingOverlay_Regenerate.Postfix
SelectionDrawer.DrawSelectionOverlays: post: AchtungMod.SelectionDrawer_DrawSelectionOverlays_Patch.Postfix
Selector.<SelectInsideDragBox>m__0: TRANS: WhatTheHack.Harmony.Selector_SelectInsideDragbox.Transpiler
Selector.HandleMapClicks: PRE: ReverseCommands.Patch3.Prefix
SelfDefenseUtility.ShouldFleeFrom: PRE: GiddyUpCore.Harmony.SelfDefenceUtility_ShouldFleeFrom.Prefix
SelfDefenseUtility.ShouldStartFleeing: PRE: WhatTheHack.Harmony.SelfDefenceUtility_ShouldStartFleeing.Prefix
SettlementAbandonUtility.Abandon: PRE: [800]ChangeDresser.Patch_SettlementAbandonUtility_Abandon.Prefix, [800]InfiniteStorage.Patch_SettlementAbandonUtility_Abandon.Prefix, [800]WeaponStorage.Patch_SettlementAbandonUtility_Abandon.Prefix, RIMMSqol.SpawnRemnantColony.Prefix
SettlementAbandonUtility.TryAbandonViaInterface: PRE: RIMMSqol.DisplayConfirmationDialog.Prefix
SettlementBase.GetCaravanGizmos: post: MoreFactionInteraction.HarmonyPatches.SettlementBase_CaravanGizmos_Postfix
SettlementBase.ShouldRemoveMapNow: post: Rimatomics.HarmonyPatches+Harmony_SettlementBase_ShouldRemoveMapNow.Postfix
ShieldBelt.DrawWornExtras: PRE: GiddyUpCore.Harmony.ShieldBelt_DrawWornExtras.Prefix
ShieldBelt.get_ShouldDisplay: post: WhatTheHack.Harmony.ShieldBelt_get_ShouldDisplay.Postfix
ShipCountdown.CountdownEnded: PRE: ShipsHaveInsides.Mod.SaveShip.SaveShipAndRemoveItemStacks
ShipCountdown.InitiateCountdown: PRE: ShipsHaveInsides.Mod.InitShipRefs.SaveStatics
ShipUtility.LaunchFailReasons: PRE: ShipsHaveInsides.Mod.FindLaunchFailReasons.DisableOriginalMethod post: ShipsHaveInsides.Mod.FindLaunchFailReasons.FindLaunchFailReasonsReally
ShipUtility.ShipBuildingsAttachedTo: PRE: ShipsHaveInsides.Mod.FindAllTheShipParts.DisableOriginalMethod post: ShipsHaveInsides.Mod.FindAllTheShipParts.FindShipPartsReally
ShipUtility.ShipStartupGizmos: post: WhatTheHack.Harmony.ShipUtility_ShipStartupGizmos.Postfix
ShortCircuitUtility.DoShortCircuit: PRE: [700]PowerLogic.ZzztFuse.FuseStop, RT_Fuse.Patch_DoShortCircuit.Prefix
ShortHashGiver.GiveShortHash: PRE: AbilityUser.AbilityUserMod.GiveShortHash_PrePatch, CPAbilityUser.StandaloneAbilityUserMod.GiveShortHash_PrePatch
ShotReport.HitFactorFromShooter: post: TargetingModes.HarmonyPatches.Postfix_HitFactorFromShooter
ShotReport.HitReportFor: post: SuppressionMod.Patch_Verse_ShotReport_HitReportFor.ApplySuppressionFactors
Site.ShouldRemoveMapNow: post: Rimatomics.HarmonyPatches+Harmony_Site_ShouldRemoveMapNow.Postfix
SituationalThoughtHandler.TryCreateThought: PRE: AlienRace.HarmonyPatches.TryCreateSituationalThoughtPrefix
SkillRecord.CalculateTotallyDisabled: PRE: PawnsAreCapable.SkillRecord_CalculateTotallyDisabled.Prefix
SkillRecord.Interval: PRE: RIMMSqol.StopSkillDecay.Prefix
SkillRecord.Learn: PRE: RIMMSqol.TrackLevelUp.Prefix post: RIMMSqol.TrackLevelUp.Postfix
Skyfaller.Tick: PRE: FrontierDevelopments.Shields.Module.RimworldModule.Harmony_Skyfaller+Patch_Tick.Prefix
SnowUtility.MovementTicksAddOn: post: RIMMSqol.SnowUtility_MovementTicksAddOn.Postfix
SocialCardUtility.GetPawnSituationLabel: PRE: Hospitality.Harmony.SocialCardUtility_Patch+GetPawnSituationLabel.Replacement
SocialCardUtility.Recache: PRE: CompVehicle.HarmonyCompVehicle.SocialTabNullHandling
SolidBioDatabase.LoadAllBios: PRE: REB_Code.Patch_SolidBioDatabase_LoadAllBios.Prefix
Stance_Warmup.StanceTick: post: SimpleSidearms.intercepts.Stance_Warmup_StanceTick_Postfix.StanceTick
StartingPawnUtility.NewGeneratedStartingPawn: PRE: AlienRace.HarmonyPatches.NewGeneratedStartingPawnPrefix
StatExtension.GetStatValue: post: CompSlotLoadable.HarmonyCompSlotLoadable.GetStatValue_PostFix
StatPart_NaturalNotMissingBodyPartsCoverage.<TryGetValue>m__0: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
StatWorker.GetExplanationUnfinalized: post: TurretExtensions.HarmonyPatches.PostfixGetExplanationUnfinalized TRANS: PawnShields.HarmonyPatches.Transpiler_StatWorker_GetExplanationUnfinalized
StatWorker.GetValueUnfinalized: post: PawnShields.HarmonyPatches.Patch_StatWorker_GetValueUnfinalized, TurretExtensions.HarmonyPatches.PostfixGetValueUnfinalized, SuppressionMod.Patch_StatWorker_GetValueUnfinalized.ApplySuppressionFactors
StatWorker.IsDisabledFor: PRE: WhatTheHack.Harmony.StatWorker_IsDisabledFor.Prefix
StatWorker.StatOffsetFromGear: post: CompSlotLoadable.HarmonyCompSlotLoadable.StatOffsetFromGear_PostFix
StatsReportUtility.DescriptionEntry: post: TurretExtensions.HarmonyPatches.PostfixDescriptionEntry
StatsReportUtility.StatsToDraw: post: TurretExtensions.HarmonyPatches.PostfixStatsToDraw_Thing
StatsReportUtility.StatsToDraw: post: TurretExtensions.HarmonyPatches.PostfixStatsToDraw_ThingDef
StockGenerator.RandomCountOf: post: SupplyAndDemand.Patch_FloatMenuMakerMap_ChoicesAtFor.Postfix
StorageSettings.ExposeData: post: RSA.StorageSettings_ExposeData.ExposeData
StoreUtility.NoStorageBlockersIn: post: RSA.StoreUtility_NoStorageBlockersIn.FilledEnough
StoryState.Notify_IncidentFired: post: MoreFactionInteraction.HarmonyPatches.IncidentFired_TradeCounter_Postfix
StorytellerUtility.DefaultThreatPointsNow: PRE: CRC_Reintegrated.MarvsStoryTellerUtility.Prefix
StrippableUtility.CanBeStrippedByColony: PRE: WhatTheHack.Harmony.WorkGiver_Strip_HasJobOnThing.Prefix
SymbolResolver_AncientRuins.Resolve: PRE: SurvivalTools.HarmonyPatches.Prefix_Resolve
SymbolResolver_CultivatedPlants.DeterminePlantDef: post: RemoteTech.Patches.CultivatedPlants_DeterminePlantDef_Patch.ReplaceSparkweedWithPotatoes
SymbolResolver_RandomMechanoidGroup.<Resolve>m__0: post: CompVehicle.HarmonyCompVehicle.MechanoidsFixerAncient
TaleRecorder.RecordTale: PRE: RIMMSqol.PreventAnimalFamilies_TaleRecorder_RecordTale.Prefix
Targeter.ConfirmStillValid: PRE: AbilityUser.AbilityUserMod.ConfirmStillValid, CPAbilityUser.StandaloneAbilityUserMod.ConfirmStillValid
Targeter.ProcessInputEvents: PRE: AbilityUser.AbilityUserMod.ProcessInputEvents_PreFix, CPAbilityUser.StandaloneAbilityUserMod.ProcessInputEvents_PreFix
Targeter.TargeterUpdate: PRE: Rimatomics.HarmonyPatches+Harmony_Targeter_TargeterUpdate.Prefix post: AbilityUser.AbilityUserMod.TargeterUpdate_PostFix, CPAbilityUser.StandaloneAbilityUserMod.TargeterUpdate_PostFix, AvoidFriendlyFire.Targeter_TargeterUpdate_Patch.Postfix
TargetingModesUtility.CanUseTargetingModes: PRE: TargetingModes.HarmonyPatches.PrefixA_CanUseTargetingModes, TargetingModes.HarmonyPatches.PrefixB_CanUseTargetingModes post: TargetingModes.HarmonyPatches.Postfix_CanUseTargetingModes, TargetingModes.HarmonyPatches.Postfix_CanUseTargetingModes
TendUtility.DoTend: post: Psychology.Harmony.TendUtility_TendPatch.BleedingHeartThought
TerrainPatchMaker.Init: PRE: [10]MapReroll.Patches.DeterministicGenerationPatcher.DeterministicPatchesSetup post: [-10]MapReroll.Patches.DeterministicGenerationPatcher.PopDeterministicRandState
Thing.ButcherProducts: post: NewAlphaAnimalSubproducts.Thing_ButcherProducts_Patch.ChangeMeatAmountByAge, WhatTheHack.Harmony.Thing_ButcherProducts.Postfix
Thing.DeSpawn: PRE: Share_The_Load.DeSpawn_Patch.Prefix
Thing.DrawExtraSelectionOverlays: post: WhatTheHack.Harmony.Thing_DrawExtraSelectionOverlays.Postfix TRANS: RemoteTech.Patches.Thing_DrawExtraSelectionOverlays_Patch.DrawThingRefPlaceWorkers
Thing.DrawGUIOverlay: post: Outfitted.Thing_DrawGUIOverlay_Patch.Postfix
Thing.Notify_ColorChanged: post: Replace_Stuff.Virtualize_Notify_ColorChanged.Postfix
Thing.Print: PRE: WhatTheHack.Harmony.Thing_Print.Prefix
Thing.SetFactionDirect: post: AlienRace.HarmonyPatches.SetFactionDirectPostfix
Thing.SpecialDisplayStats: post: RangedStaggerRebalanced.HarmonyPatches.Thing_PostfixSpecialDisplayStats
Thing.TakeDamage: PRE: CompDeflector.HarmonyCompDeflector.TakeDamage_PreFix
Thing.get_DefaultGraphic: post: CompOversizedWeapon.HarmonyCompOversizedWeapon.get_Graphic_PostFix TRANS: Replace_Stuff.OverMineable.ShowBluePrintOverFogDynamic.Transpiler
Thing.get_DrawPos: PRE: WhatTheHack.Harmony.Thing_get_DrawPos.Prefix
Thing.get_Graphic: post: TurretExtensions.HarmonyPatches.PostfixGraphic
ThingDef.SpecialDisplayStats: post: RangedStaggerRebalanced.HarmonyPatches.ThingDef_PostfixSpecialDisplayStats, TurretExtensions.HarmonyPatches.ThingDef_PostfixSpecialDisplayStats, SurvivalTools.HarmonyPatches.Postfix_SpecialDisplayStats
ThingDef.get_ConnectToPower: post: RemoteTech.Patches.ThingDef_ConnectToPower_Patch.AllowPolymorphicComps
ThingDefGenerator_Buildings.NewFrameDef_Thing: post: Replace_Stuff.OverMineable.FramesArentEdifices.Postfix
ThingFilter.SetFromPreset: post: SurvivalTools.HarmonyPatches.Postfix_SetFromPreset
ThingFilterUI.DoThingFilterConfigWindow: PRE: StorageSearch.HaulingHysteresis_InjectControls.Before_DoThingFilterConfigWindow TRANS: RSA.Core.FilterSearch_InjectSearchBox.Transpiler
ThingGrid.Deregister: PRE: RimWorld.ThingGrid_Deregister.Deregister
ThingGrid.Register: post: RimWorld.ThingGrid_Register.Register
ThingOverlays.ThingOverlaysOnGUI: post: AchtungMod.ThingOverlays_ThingOverlaysOnGUI_Patch.Postfix
ThingOwner`1.Remove: post: DualWield.Harmony.ThingOwner_Remove.Postfix
ThingSelectionUtility.SelectNextColonist: PRE: AnimalTab.Patch_ThingSelectionUtility+Pre_SelectNextColonist.Prefix
ThingSelectionUtility.SelectPreviousColonist: PRE: AnimalTab.Patch_ThingSelectionUtility+Pre_SelectPreviousColonist.Prefix
ThingSelectionUtility.SelectableByMapClick: PRE: Replace_Stuff.OverMineable.FoggedSelectable.Prefix post: CosmicHorror.Utility.SelectableByMapClickPostfix, CosmicHorror.HarmonyPatches.SelectableByMapClickPostfix, ExpandedIncidents.Harmony.ThingSelectionUtilityPatch.ThiefException
ThingSetMaker.Generate: post: MoreFactionInteraction.HarmonyPatches.TraderStocker_OverStockerPostFix
ThingSetMaker_ResourcePod.PossiblePodContentsDefs: post: Rimefeller.HarmonyPatches+Harmony_PossiblePodContentsDefs.Postfix, Rimatomics.HarmonyPatches+Harmony_PossiblePodContentsDefs.Postfix, Rimefeller.HarmonyPatches+Harmony_PossiblePodContentsDefs.Postfix
ThingWithComps.DeSpawn: PRE: Rimatomics.HarmonyPatches+Harmony_Projectile_DeSpawn.Prefix
ThingWithComps.GetFloatMenuOptions: post: AllowTool.Patches.Thing_GetFloatMenuOptions_Patch.FinishOffWhenDrafted
ThingWithComps.InitializeComps: post: AbilityUser.AbilityUserMod.InitializeComps_PostFix, CPAbilityUser.StandaloneAbilityUserMod.InitializeComps_PostFix, CultOfCthulhu.HarmonyPatches.InitializeComps_PostFix
ThinkNode_ConditionalColonist.Satisfied: post: CompVehicle.HarmonyCompVehicle.Satisfied_PostFix
ThoughtUtility.CanGetThought: post: AlienRace.HarmonyPatches.CanGetThoughtPostfix
ThoughtUtility.GiveThoughtsForPawnExecuted: post: Psychology.Harmony.ThoughtUtility_ExecutedPatch.BleedingHeartThoughts
ThoughtUtility.GiveThoughtsForPawnOrganHarvested: post: Psychology.Harmony.ThoughtUtility_OrganHarvestedPatch.BleedingHeartThoughts
ThoughtWorker_AlwaysActive.CurrentStateInternal: post: Psychology.Harmony.ThoughtWorker_AlwaysActivePatch.AlwaysActiveDepression
ThoughtWorker_AnnoyingVoice.CurrentSocialStateInternal: post: Psychology.Harmony.ThoughtWorker_AnnoyingVoicePatch.Disable
ThoughtWorker_ChemicalInterestVsTeetotaler.CurrentSocialStateInternal: post: Psychology.Harmony.ThoughtWorker_ChemicalInterestVsTeetotalerPatch.Disable
ThoughtWorker_CreepyBreathing.CurrentSocialStateInternal: post: Psychology.Harmony.ThoughtWorker_CreepyBreathingPatch.Disable
ThoughtWorker_Disfigured.CurrentSocialStateInternal: post: Psychology.Harmony.ThoughtWorker_DisfiguredPatch.Disable
ThoughtWorker_HardWorkerVsLazy.CurrentSocialStateInternal: post: Psychology.Harmony.ThoughtWorker_HardWorkerVsLazyPatch.Disable
ThoughtWorker_Hediff.CurrentStateInternal: post: Psychology.Harmony.ThoughtWorker_HediffPsychology.MethadoneHigh
ThoughtWorker_Pretty.CurrentSocialStateInternal: post: Psychology.Harmony.ThoughtWorker_PrettyPatch.Disable
ThoughtWorker_PrisonBarracksImpressiveness.CurrentStateInternal: PRE: Hospitality.Harmony.ThoughtWorker_Patch+PrisonBarracksImpressiveness.CurrentStateInternal
ThoughtWorker_PrisonCellImpressiveness.CurrentStateInternal: PRE: Hospitality.Harmony.ThoughtWorker_Patch+PrisonCellImpressiveness.CurrentStateInternal
ThoughtWorker_PsychicEmanatorSoothe.CurrentStateInternal: TRANS: MoreFactionInteraction.HarmonyPatches.PsychicEmanatorSoothe_Transpiler
ThoughtWorker_PsychologicallyNude.CurrentStateInternal: post: DubsBadHygiene.HamonyPatches+Patch_ThoughtWorker_PsychologicallyNude.Postfix
ThoughtWorker_TeetotalerVsChemicalInterest.CurrentSocialStateInternal: post: Psychology.Harmony.ThoughtWorker_TeetotalerVsChemicalInterestPatch.Disable
ThoughtWorker_Ugly.CurrentSocialStateInternal: post: Psychology.Harmony.ThoughtWorker_UglyPatch.Disable
ThoughtWorker_WantToSleepWithSpouseOrLover.CurrentStateInternal: (no patches)
TickManager.TogglePaused: post: SafePause.TickManager_TogglePaused_Patch.Postfix
TileFinder.RandomSettlementTileFor: PRE: [600]Planets_Code.TileFinder_RandomSettlementTileFor.Prefix, FactionControl.TileFinder_RandomFactionBaseTileFor.Prefix, CthulhuFactions.HarmonyFactions.RandomSettlementTileFor_PreFix
TimeControls.DoTimeControlsGUI: PRE: SafePause.TimeControls_DoTimeControlsGUI_Patch.Prefix post: SafePause.TimeControls_DoTimeControlsGUI_Patch.Postfix
TimeControls.PlaySoundOf: PRE: SafePause.TimeControls_PlaySoundOf_Patch.Prefix
Toils_Construct.MakeSolidThingFromBlueprintIfNecessary: PRE: QualityBuilder._Toils_Construct.Prefix
Toils_Haul.PlaceHauledThingInCell: post: AllowTool.Patches.ToilsHaul_PlaceInCell_Patch.ClearHaulUrgently
Toils_Haul.TakeToInventory: post: SurvivalTools.HarmonyPatches.Postfix_TakeToInventory
Toils_Ingest.TakeMealFromDispenser: PRE: WM.SmarterFoodSelection.Detours.Toils_Ingest.TakeMealFromDispenser.Prefix post: WM.SmarterFoodSelection.Detours.Toils_Ingest.TakeMealFromDispenser.Postfix
Toils_JobTransforms.ExtractNextTargetFromQueue: post: SmartMedicine.ExtractQueueDrop.Postfix
Toils_LayDown.ApplyBedThoughts: PRE: Hospitality.Harmony.Toils_LayDown_Patch+ApplyBedThoughts.Replacement
TouchPathEndModeUtility.IsCornerTouchAllowed: PRE: Replace_Stuff.OverMineable.CornerBuildable.Prefix
TouchPathEndModeUtility.MakesOccupiedCellsAlwaysReachableDiagonally: PRE: Replace_Stuff.OverMineable.CornerMineableOkay.Prefix
TradeDeal.Reset: PRE: ChangeDresser.Patch_TradeDeal_Reset.Prefix, InfiniteStorage.Patch_TradeDeal_Reset.Prefix, WeaponStorage.Patch_TradeDeal_Reset.Prefix
TradeShip.ColonyThingsWillingToBuy: post: ChangeDresser.Patch_PassingShip_TryOpenComms.Postfix, InfiniteStorage.Patch_PassingShip_TryOpenComms.Postfix, WeaponStorage.Patch_PassingShip_TryOpenComms.Postfix, RimFridge.Patch_PassingShip_TryOpenComms.Postfix
Tradeable.InitPriceDataIfNeeded: TRANS: MoreFactionInteraction.HarmonyPatches.ErrorSuppressionSssh
Tradeable_Pawn.get_Label: post: AnimalsLogic.Patch_Tradeable_Pawn_Label.Postfix
TraderCaravanUtility.GetTraderCaravanRole: post: GiddyUpCaravan.Harmony.TraderCaravanUtility_GetTraderCaravanRole.Postfix TRANS: AlienRace.HarmonyPatches.GetTraderCaravanRoleTranspiler
TraderKindDef.PriceTypeFor: post: MoreFactionInteraction.HarmonyPatches.PriceTypeSetter_PostFix
TrainableUtility.DegradationPeriodTicks: TRANS: AnimalsLogic.ForgetMeNot+TrainableUtility_DegradationPeriodTicks_Patch.Transpiler
TrainableUtility.GetWildnessExplanation: TRANS: AnimalsLogic.ForgetMeNot+TrainableUtility_GetWildnessExplanation_Patch.Transpiler
TrainableUtility.TamenessCanDecay: TRANS: AnimalsLogic.ForgetMeNot+TrainableUtility_TamenessCanDecay_Patch.Transpiler
TraitSet.GainTrait: PRE: AlienRace.HarmonyPatches.GainTraitPrefix, Psychology.Harmony.TraitSet_GainTraitPatch.KinseyException
TransferableOneWay.get_Label: post: AnimalsLogic.Patch_TransferableOneWay_Label.Postfix
TransferableOneWayWidget.DoRow: PRE: WhatTheHack.Harmony.TransferableOneWayWidget_DoRow.Prefix TRANS: GiddyUpCaravan.Harmony.TransferableOneWayWidget_DoRow.Transpiler
TransferableOneWayWidget.FillMainRect: post: GiddyUpCaravan.Harmony.TransferableOneWayWidget_FillMainRect.Postfix
TransferableUIUtility.DrawExtraInfo: PRE: WhatTheHack.Harmony.TransferableUIUtility_DrawExtraInfo.Prefix
TransferableUtility.CanStack: PRE: WhatTheHack.Harmony.TransferableUtility_CanStack.Prefix
TransferableUtility.TransferAsOne: post: GiddyUpCaravan.Harmony.TransferableUtility_TransferAsOne.Postfix
TransitionAction_EnsureHaveExitDestination.DoAction: PRE: Hospitality.Harmony.TransitionAction_EnsureHaveExitDestination_Patch+DoAction.Prefix
TransitionAction_SetDefendLocalGroup.DoAction: PRE: Hospitality.Harmony.TransitionAction_SetDefendLocalGroup_Patch+DoAction.Prefix
TrashUtility.TrashJob: (no patches)
TurretTop.DrawTurret: PRE: Rimlaser.PatchTuretTopDrawTurret.Prefix TRANS: TurretExtensions.HarmonyPatches.TranspileDrawTurret
TurretTop.TurretTopTick: PRE: WhatTheHack.Harmony.TurretTop_TurretTopTick.Prefix
Tutor.TutorOnGUI: PRE: RimHUD.Patch.RimWorld_Tutor_TutorOnGUI.Prefix
UIRoot.UIRootOnGUI: post: HugsLib.Patches.UIRoot_Patch.OnGUIHook
Utils.MyDistance: PRE: SmartMedicine.FixWhileYoureUp.Prefix
Verb.CanHitCellFromCellIgnoringRange: PRE: JecsTools.HarmonyPatches.CanHitCellFromCellIgnoringRange_Prefix, CPWeaponExt.HarmonyRailgun.CanHitCellFromCellIgnoringRange_Prefix
Verb.CanHitTargetFrom: post: AvoidFriendlyFire.Verb_CanHitTargetFrom_Patch.Postfix TRANS: FrontierDevelopments.Shields.Harmony.Harmony_Verb+Patch_CanHitTargetFrom.Transpiler
Verb.TryCastNextBurstShot: TRANS: RunAndGun.Harmony.Verb_TryCastNextBurstShot.Transpiler, [200]DualWield.Harmony.Verb_TryCastNextBurstShot.Transpiler
Verb.TryStartCastOn: PRE: CompActivatableEffect.HarmonyCompActivatableEffect.TryStartCastOnPrefix, RunAndGun.Harmony.Verb_TryStartCastOn.Prefix
Verb.get_DirectOwner: PRE: AbilityUser.AbilityUserMod.get_DirectOwner_Prefix, CPAbilityUser.StandaloneAbilityUserMod.get_DirectOwner_Prefix
Verb.get_UIIcon: PRE: AbilityUser.AbilityUserMod.get_UIIcon, CPAbilityUser.StandaloneAbilityUserMod.get_UIIcon
VerbProperties.AdjustedAccuracy: post: GiddyUpCore.Harmony.VerbProperties_AdjustedAccuracy.Postfix, DualWield.Harmony.VerbProperties_AdjustedAccuracy.Postfix, RunAndGun.Harmony.VerbProperties_AdjustedAccuracy.Postfix
VerbProperties.AdjustedCooldown: post: DualWield.Harmony.VerbProperties_AdjustedCooldown.Postfix
VerbTracker.CreateVerbTargetCommand: PRE: DualWield.Harmony.VerbTracker_CreateVerbTargetCommand.Prefix
VerbTracker.GetVerbsCommands: PRE: CompVehicle.HarmonyCompVehicle.GetVerbsCommands_PreFix post: DualWield.Harmony.VerbTracker_GetVerbsCommands_Postfix.Postfix
Verb_LaunchProjectile.TryCastShot: post: ProfitableWeapons.HarmonyPatches.PostfixTryCastShot
Verb_LaunchProjectile.get_Projectile: PRE: AbilityUser.AbilityUserMod.get_Projectile_Prefix, CPAbilityUser.StandaloneAbilityUserMod.get_Projectile_Prefix
Verb_MeleeAttack.GetNonMissChance: post: TargetingModes.HarmonyPatches.Postfix_GetNonMissChance
Verb_MeleeAttack.SoundHitBuilding: post: CompExtraSounds.HarmonyCompExtraSounds.SoundHitBuildingPrefix
Verb_MeleeAttack.SoundHitPawn: post: CompExtraSounds.HarmonyCompExtraSounds.SoundHitPawnPrefix
Verb_MeleeAttack.SoundMiss: post: CompExtraSounds.HarmonyCompExtraSounds.SoundMissPrefix
Verb_MeleeAttack.TryCastShot: post: ProfitableWeapons.HarmonyPatches.PostfixTryCastShot, SimpleSidearms.intercepts.Verb_MeleeAttack_TryCastShot_PostFix.TryCastShot TRANS: DualWield.Harmony.Verb_MeleeAttack_TryCastShot.Transpiler
Verb_MeleeAttackDamage.DamageInfosToApply: post: CompSlotLoadable.HarmonyCompSlotLoadable.DamageInfosToApply_PostFix, AlienRace.HarmonyPatches.DamageInfosToApplyPostfix
Verb_Shoot.TryCastShot: PRE: CompVehicle.HarmonyCompVehicle.CanVehicleShoot
Verb_ShootOneUse.SelfConsume: post: SimpleSidearms.intercepts.Verb_ShootOneUse_SelfConsume_Postfix.SelfConsume
Verb_TryCastNextBurstShot.SetStanceRunAndGun: post: DualWield.Harmony.RunAndGun.Postfix
VoluntarilyJoinableLordsStarter.Tick_TryStartParty: PRE: Psychology.Harmony.VoluntarilyJoinableLordsStarter_StartPartyPatch.ExtraSocialiteParties
WITab_Caravan_Gear.TryEquipDraggedItem: TRANS: PawnsAreCapable.WITab_Caravan_Gear_TryEquipDraggedItem.Transpiler, AlienRace.HarmonyPatches.BodyReferenceTranspiler
WITab_Caravan_Health.DoRow: TRANS: AlienRace.HarmonyPatches.BodyReferenceTranspiler
WealthWatcher.CalculateWealthFloors: PRE: StuffedFloors.HarmonyPatch_WealthWatcher_CalculateWealthFloors.Prefix
WealthWatcher.ForceRecount: post: ChangeDresser.HarmonyPatches+Patch_WealthWatcher_ForceRecount.Postfix, InfiniteStorage.HarmonyPatches+Patch_WealthWatcher_ForceRecount.Postfix, WeaponStorage.HarmonyPatches+Patch_WealthWatcher_ForceRecount.Postfix
WeatherDecider.StartInitialWeather: post: ShipsHaveInsides.Mod.WeatherDecider_StartInitialWeather.ChooseSpaceWeather
WeatherDecider.StartNextWeather: post: ShipsHaveInsides.Mod.WeatherDecider_StartNextWeather.ChooseSpaceWeather
Widgets.ButtonImage: PRE: ImprovedWorkbenches.Widgets_ButtonImage_Detour.Prefix
Widgets.TextFieldNumeric: PRE: RIMMSqol.ReplaceNumericTextboxesFloat.Prefix
Widgets.TextFieldNumeric: PRE: RIMMSqol.ReplaceNumericTextboxesInt.Prefix
WidgetsWork.DrawWorkBoxBackground: PRE: PawnsAreCapable.WidgetsWork_DrawWorkBoxBackground.Prefix
WidgetsWork.TipForPawnWorker: PRE: PawnsAreCapable.WidgetsWork_TipForPawnWorker.Prefix
WorkGiver.MissingRequiredCapacity: post: SurvivalTools.HarmonyPatches.Postfix_MissingRequiredCapacity
WorkGiver_ClearSnow.HasJobOnCell: post: SnowClearanceSanity.ClearanceSanity.Postfix
WorkGiver_ConstructDeliverResources.FindNearbyNeeders: TRANS: Share_The_Load.FindNearbyNeeders_Patch.Transpiler, AchtungMod.WorkGiver_ConstructDeliverResources_FindNearbyNeeders_Patch.Transpiler
WorkGiver_ConstructDeliverResources.IsNewValidNearbyNeeder: TRANS: Replace_Stuff.OverMineable.DeliverUnderRock.Transpiler
WorkGiver_ConstructDeliverResources.ResourceDeliverJobFor: TRANS: Share_The_Load.MaterialsNeeded_Patch.Transpiler, Share_The_Load.BreakToContinue_Patch.Transpiler
WorkGiver_ConstructDeliverResourcesToBlueprints.JobOnThing: PRE: RFF_Code.WorkGiver_ConstructDeliverResourcesToBlueprints_JobOnThing.Prefix
WorkGiver_ConstructDeliverResourcesToBlueprints.NoCostFrameMakeJobFor: PRE: RFF_Code.WorkGiver_ConstructDeliverResourcesToBlueprints_NoCostFrameMakeJobFor.Prefix
WorkGiver_ConstructFinishFrames.JobOnThing: PRE: RFF_Code.WorkGiver_ConstructFinishFrames_JobOnThing.Prefix post: QualityBuilder._WorkGiver_ConstructFinishFrames.Postfix
WorkGiver_DoBill.AddEveryMedicineToRelevantThings: post: SmartMedicine.InventorySurgery.Postfix
WorkGiver_DoBill.GetMedicalCareCategory: PRE: Pharmacist.Properties.WorkGiver_DoBill_GetMedicalCareCategory.Prefix post: SmartMedicine.SurgeryUnlimited.SurgeryUnlimitedBillCategory.Postfix
WorkGiver_DoBill.TryFindBestBillIngredients: PRE: SmartMedicine.HackityGetBill.Prefix, RIMMSqol.performance.workgiver_dobill.WorkGiver_DoBill_TryFindBestBillIngredients.Prefix, WhatTheHack.Harmony.WorkGiver_DoBill_TryFindBestBillIngredients.Prefix post: ChangeDresser.HarmonyPatches+Patch_WorkGiver_DoBill_TryFindBestBillIngredients.Postfix, MendingChangeDresserPatch.Patch_WorkGiver_DoBill_TryFindBestBillIngredients.Postfix, InfiniteStorage.Patch_WorkGiver_DoBill_TryFindBestBillIngredients.Postfix, MendingWeaponStoragePatch.Patch_WorkGiver_DoBill_TryFindBestBillIngredients.Postfix, WeaponStorage.HarmonyPatches+Patch_WorkGiver_DoBill_TryFindBestBillIngredients.Postfix
WorkGiver_DoBill.TryFindBestBillIngredientsInSet_AllowMix: PRE: RIMMSqol.WorkGiver_DoBill_TryFindBestBillIngredientsInSet_AllowMix.Prefix
WorkGiver_FixBrokenDownBuilding.FindClosestComponent: post: InfiniteStorage.Patch_WorkGiver_FixBrokenDownBuilding_FindClosestComponent.Postfix
WorkGiver_Grower.ExtraRequirements: post: HarvestSync.HarvestPotentialWorkCellsPatcher.Postfix
WorkGiver_GrowerHarvest.HasJobOnCell: post: AlienRace.HarmonyPatches.HasJobOnCellHarvestPostfix
WorkGiver_GrowerSow.ExtraRequirements: post: AlienRace.HarmonyPatches.ExtraRequirementsGrowerSowPostfix
WorkGiver_GrowerSow.JobOnCell: post: SurvivalTools.HarmonyPatches.Postfix_JobOnCell
WorkGiver_InteractAnimal.CanInteractWithAnimal: post: AlienRace.HarmonyPatches.CanInteractWithAnimalPostfix
WorkGiver_InteractAnimal.HasFoodToInteractAnimal: PRE: WM.SmarterFoodSelection.Detours.HasFoodToInteractAnimal.Prefix post: WM.SmarterFoodSelection.Detours.HasFoodToInteractAnimal.Postfix
WorkGiver_InteractAnimal.TakeFoodForAnimalInteractJob: PRE: WM.SmarterFoodSelection.Detours.TakeFoodForAnimalInteractJob.Prefix post: WM.SmarterFoodSelection.Detours.TakeFoodForAnimalInteractJob.Postfix
WorkGiver_Miner.JobOnThing: post: Share_The_Load.MakeWay_Miner_Job.Postfix TRANS: Share_The_Load.MakeWay_Miner_Job.Transpiler
WorkGiver_Miner.PotentialWorkThingsGlobal: post: Share_The_Load.MakeWay_Miner.Postfix
WorkGiver_PlantsCut.JobOnThing: post: SurvivalTools.HarmonyPatches.Postfix_JobOnThing TRANS: Share_The_Load.MakeWay_Plant_Job.Transpiler
WorkGiver_PlantsCut.PotentialWorkThingsGlobal: post: Share_The_Load.MakeWay_Plant.Postfix
WorkGiver_Repair.HasJobOnThing: TRANS: AchtungMod.WorkGiver_Repair_HasJobOnThing_Patch.Transpiler
WorkGiver_Researcher.ShouldSkip: post: AlienRace.HarmonyPatches.ShouldSkipResearchPostfix
WorkGiver_TakeToBedToOperate.HasJobOnThing: PRE: AnimalsLogic.YouSleepHere+WorkGiver_TakeToBedToOperate_HasJobOnThing_Patch.Prefix
WorkGiver_Tend.GoodLayingStatusForTend: post: SmartMedicine.GoodLayingStatusForTend_Patch.Postfix
WorkGiver_Tend.HasJobOnThing: TRANS: SmartMedicine.NeedTendBeforeStatusForTend.Transpiler
WorkGiver_Tend.JobOnThing: PRE: SmartMedicine.JobOnThing_Patch.Prefix
Workshop.SetWorkshopItemDataFrom: post: MultiVersionModFix.SetWorkshopItemDataFrom_Postfix
WorkshopItems.Notify_Installed: PRE: ModManager.Patch_WorkshopItems_Events+WorkshopItems_Notify_Installed.Prefix
WorkshopItems.Notify_Subscribed: PRE: ModManager.Patch_WorkshopItems_Events+WorkshopItems_Notify_Subscribed.Prefix post: CameraPlus.CrossPromotion.WorkshopItems_Notify_Subscribed_Postfix
WorkshopItems.Notify_Unsubscribed: PRE: ModManager.Patch_WorkshopItems_Events+WorkshopItems_Notify_Unsubscribed.Prefix
World.HasCaves: PRE: MapReroll.Patches.World_HasCaves_Patch.ConsistentRerollCaves
World.NaturalRockTypesIn: post: PrepareLanding.Patches.PatchNaturalRockTypesIn.NaturalRockTypesInPostFix
WorldGenStep_Terrain.GenerateGridIntoWorld: post: PrepareLanding.Patches.PatchGenerateGridIntoWorld.GenerateGridIntoWorldPostFix
WorldGenStep_Terrain.SetupElevationNoise: PRE: Planets_Code.WorldGenStep_Terrain_SetupElevationNoise.Prefix
WorldGenerator.GenerateWorld: PRE: PrepareLanding.Patches.PatchGenerateWorld.GenerateWorldPrefix post: PrepareLanding.Patches.PatchGenerateWorld.GenerateWorldPostFix
WorldInterface.WorldInterfaceOnGUI: post: PrepareLanding.Patches.PatchWorldInterfaceOnGui.WorldInterfaceOnGuiPostFix
WorldInterface.WorldInterfaceUpdate: post: PrepareLanding.Patches.PatchWorldInterfaceUpdate.WorldInterfaceUpdatePostFix
WorldLayer_Rivers.FinalizePoint: TRANS: WorldGenRules.RulesOverrider+WorldLayer_Rivers_FinalizePoint_Patch.Transpiler
WorldLayer_Roads.FinalizePoint: TRANS: WorldGenRules.RulesOverrider+WorldLayer_Roads_FinalizePoint_Patch.Transpiler
WorldObjectsHolder.MapParentAt: post: ShipsHaveInsides.Mod.WorldObjectsHolder_MapParentAt.AdjustReturnValue
WorldPawnGC.LogDotgraph: PRE: RIMMSqol.performance.worldpawngc.WorldPawnGC_LogDotgraph.Prefix
WorldPawnGC.PawnGCDebugResults: PRE: RIMMSqol.performance.worldpawngc.WorldPawnGC_PawnGCDebugResults.Prefix
WorldPawnGC.RunGC: PRE: RIMMSqol.performance.worldpawngc.WorldPawnGC_RunGC.Prefix
WorldPawnGC.WorldPawnGCTick: PRE: RIMMSqol.performance.worldpawngc.WorldPawnGC_WorldPawnGCTick.Prefix
WorldReachabilityUtility.CanReach: post: MoreFactionInteraction.HarmonyPatches.WorldReachUtility_PostFix
WorldSelector.AutoOrderToTileNow: post: JecsTools.HarmonyCaravanPatches.AutoOrderToTileNow_Jobs
WorldSelector.HandleWorldClicks: PRE: ShipsHaveInsides.Mod.HandleWorldSelectorOverrides.HandleClicks
WorldSelector.SelectableObjectsUnderMouse: post: JecsTools.HarmonyCaravanPatches.SelectableObjectsUnderMouse_InvisHandler
Zone.Delete: PRE: HarvestSync.ZoneDeleteNotifier.Prefix
Zone_Growing.GetGizmos: post: HarvestSync.GrowingZoneGetGizmosPatcher.Postfix
Zone_Growing.GetZoneAddGizmos: PRE: TerrainZoneSelect.ZoneGrowPatch.Prefix
Zone_Stockpile.GetGizmos: post: SimpleStockpilePresets.Harmony_Zone_Stockpile_GetGizmos.Postfix
Zone_Stockpile.GetZoneAddGizmos: PRE: TerrainZoneSelect.ZoneStockpilePatch.Prefix
Harmony versions present: 1.2.0.2: rimworld.erdelf.alien_race.main, com.jkluch.HaulToStack, rimworld.erdelf.powerShower; 1.2.0.1: com.keephandsfeet.rimworld.mod, com.rimworld.modcheck, UnlimitedHugs.HugsLib, net.pardeike.rimworld.mod.camera+, net.pardeike.rimworld.mods.achtung, net.pardeike.rimworld.mod.just-ignore-me-passing, net.pardeike.reversecommands, RimHUD, Dubwise.Rimatomics, HugsLib.AvoidFriendlyFire, com.changedresser.rimworld.mod, fluffy.modmanager, brrainz-crosspromotion, com.rimworld.mod.factioncontrol, net.rainbeau.rimworld.mod.realisticplanets, net.quicksilverfox.rimworld.mod.worldgenrules, HugsLib.PrepareLanding, HugsLib.AllowTool, com.weaponstorage.rimworld.mod, HugsLib.SearchAndDestroy, HugsLib.WhatTheHack, Uuugggg.rimworld.UseBedrolls.main, XeoNovaDan.TargetingModes, com.geneticrim, HugsLib.RunAndGun, HugsLib.SimpleSidearms, XeoNovaDan.TurretExtensions, net.quicksilverfox.rimworld.mod.animalslogic, HugsLib.GiddyUpCore, RIMMSqol, com.rimfridge.rimworld.mod, HugsLib.Hospitality, net.marvinkosh.rimworld.mod.combatreadinesscheck, com.consolidatedtraits.rimworld.mod, dingo.deeporeidentifier, Uuugggg.rimworld.Replace_Stuff.main, fluffy.breakdowns, Uuugggg.rimworld.Fuel_Economy.main, uuugggg.rimworld.GearUpAndGo.main, uuugggg.rimworld.Meals_On_Wheels.main, Uuugggg.rimworld.Share_The_Load.main, uuugggg.rimworld.SmartMedicine.main, rimworld.infused, HugsLib.Smarter_Food_Selection, Fluffy.StuffedFloors, mehni.rimworld.numbers, Fluffy.BirdsAndBees, Fluffy.AnimalTab, fluffy.medicaltab, fluffy.worktab, rainbeau.pawnsAreCapable, com.rimworld.mod.moretraitslots, HugsLib.Psychology, mehni.rimworld.traderdismissal.main, HugsLib.DualWield, mehni.rimworld.pickupandhaul.main, XeoNovaDan.SurvivalTools, HugsLib.GiddyUpRideAndRoll, net.rainbeau.rimworld.mod.rffconcrete, net.rainbeau.rimworld.mod.fertilefields, HugsLib.ShipInteriorMod, MassGraves.Harmony, Dubwise.DubsBadHygiene, rimworld.outfitted, HugsLib.ExpandedIncidents, Fluffy.ResearchTree, RSA, RSA.Core, HugsLib.ImprovedWorkbenches, likeafox.rimworld.safepause, net.rainbeau.rimworld.mod.scenarios, mehni.rimworld.shipchunks.main, Syrchalis.Rimworld.SetUpCamp, com.InfiniteStorage.rimworld.mod, com.mendingchangedresserpatch.rimworld.mod, com.mendingweaponstoragepatch.rimworld.mod, fluffy.rimworld.pharmacist, net.marvinkosh.rimworld.mod.snowclearancesanity, net.marvinkosh.rimworld.mod.ughyougotme, HugsLib.MapReroll, fluffy.colonymanager, Dubwise.Dubs_Skylights, com.SupesSolutions.PowerLogic, io.github.ratysz.madskills, Dubwise.Rimefeller, io.github.ratysz.rt_solarflareshield, frontierdevelopment.shields, net.rainbeau.rimworld.mod.backstories, net.rainbeau.rimworld.mod.pawnnames, rimworld.facialstuff.mod, XeoNovaDan.ProfitableWeapons, HugsLib.GiddyUpCaravan, HugsLib.da_SuppressionMod, ShieldHarmony, HugsLib.Therapy, com.github.rimworld.mod.AlphaAnimalRangeAttack, com.github.rimworld.mod.AnimalRangeAttack, multiversionmodfix, XeoNovaDan.AutoOwl, Dubwise.DubsMintMenus, rimworld.facialstuff.prepare_carefully_patch, rimworld.psychology.prepare_carefully_patch, rimworld.alienface.patches, com.chippedchap.harvestsync, syrchalis.rimworld.harvestYieldPatch, RimWorld.Vanya.IncidentPersonStat, Harmony_Locks, com.github.alandariva.moreplanning, HugsLib.com.github.alandariva.moreplanning, com.pathavoid.rimworld.mod, HugsLib.RemoteTech, XeoNovaDan.ProperShotguns, de.hatti.rimworld.mod.qualitybuilder, XeoNovaDan.RangedStaggerRebalanced, uuugggg.rimworld.SmartMedicine.RPGInventory, net.mseal.rimworld.mod.terrainzoneselect, XeoNovaDan.VisiblePants, XeoNovaDan.WatermillTweaks, net.rainbeau.rimworld.mod.wildcultivation, com.supplyanddemand.rimworld.mod, mehni.rimworld.MFI.main, com.github.harmony.rimworld.baserobots, com.alphaanimals, HugsLib.DefensivePositions, HugsLib.WhileYoureUp, HugsLib.BattleMounts, HugsLib.GiddyUpMechanoids, HugsLib.DeathRattle, HugsLib.Autopsy, HugsLib.LessArbitrarySurgery, HugsLib.RunandHide, HugsLib.TurnItOnandOff, HugsLib.MoreMechanoids; 1.2.0.0: com.github.automatic1111.rimlaser; 1.1.0.0: jamaicancastle.shoo, rimworld.erdelf.prisoner_ransom, EdB.PrepareCarefully, rimworld.lanilor.simplestockpilepresets; 1.0.9.1: Merthsoft.DesignatorShapes, rimworld.jecrell.abilityuser, rimworld.jecrell.standaloneabilityuser, rimworld.jecrell.cthulhu.cults, rimworld.jecrell.comps.vehicle, rimworld.jecrell.comps.activator, rimworld.jecrell.comps.slotloadable, rimworld.Sihv.bonemod, rimworld.jecrell.humanlikeorders, rimworld.rimquest, rimworld.jecrell.jecstools.main, rimworld.jecrell.doorsexpanded, rimworld.cosmic_Horrors, rimworld.jecrell.comps.oversized, rimworld.jecrell.caravanjobs, rimworld.jecrell.comps.installedpart, chjees.shields, rimworld.jecrell.arachnophobia, rimworld.cpwallshot, rimworld.jecrell.comps.deflector, rimworld.jecrell.comps.sounds, rimworld.cpbackpacks, com.ghxx.rimworld.techadvancing, com.dninemfive.deconstructreturnfix; 1.0.9.0: rimworld.jecrell.cthulhu.factions, rimworld.jecrell.straitjacket
Platform information: (hidden, hold Shift while publishing to include)
Log file contents:
Setting breakpad minidump AppID = 294100
[Steam Id redacted]
eam/steamapps/common/RimWorld/RimWorldLinux.x86_64
Mono path[0] = '[Rimworld_dir]/RimWorldLinux_Data/Managed'
Mono path[1] = '[Rimworld_dir]/RimWorldLinux_Data/Mono'
Mono config path = '[Rimworld_dir]/RimWorldLinux_Data/Mono/etc'
Preloaded 'ScreenSelector.so'
Preloaded 'libCSteamworks.so'
Preloaded 'libsteam_api.so'
Unable to preload the following plugins:
ScreenSelector.so
libCSteamworks.so
libsteam_api.so
Player data archive not found at `[Rimworld_dir]/RimWorldLinux_Data/data.unity3d`, using local filesystemDesktop is 1920 x 1080 @ 60 Hz
New context 0x2d62a20 created with attributes:
Initialize engine version: 5.6.5f1 (2cac56bf7bb6)
GfxDevice: [Renderer information redacted]
Begin MonoManager ReloadAssembly
Loading [Rimworld_dir]/RimWorldLinux_Data/Managed/UnityEngine.dll into Unity Child Domain
Loading [Rimworld_dir]/RimWorldLinux_Data/Managed/Assembly-CSharp-firstpass.dll into Unity Child Domain
Loading [Rimworld_dir]/RimWorldLinux_Data/Managed/Assembly-CSharp.dll into Unity Child Domain
Loading [Rimworld_dir]/RimWorldLinux_Data/Managed/UnityEngine.UI.dll into Unity Child Domain
Loading [Rimworld_dir]/RimWorldLinux_Data/Managed/UnityEngine.Networking.dll into Unity Child Domain
Loading [Rimworld_dir]/RimWorldLinux_Data/Managed/TextMeshPro-1.0.55.56.0b11.dll into Unity Child Domain
New context 0x37ed810 created with attributes:
Default vsync count 1
requesting resize 1920 x 1080
Using native desktop resolution 1920 x 1080
requesting fullscreen 1920 x 1080 at 0 Hz
Desktop is 1920 x 1080 @ 60 Hz
Command line arguments: -logfile /tmp/rimworld_log
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
RimWorld 1.0.2096 rev476
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Changing real window size to 1920 x 1061
Changing real window size to 1920 x 1080
Rebuilding mods list
Adding mods from mods folder:
Adding Core([Rimworld_dir]/Mods/Core)
Adding mods from Steam:
Adding 824601339([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/824601339)
Adding 832333531([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/832333531)
Adding 1508341791([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1508341791)
Adding 1503813330([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1503813330)
Adding 1502703299([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1502703299)
Adding 1292711799([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1292711799)
Adding 1537104826([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1537104826)
Adding 1560046317([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1560046317)
Adding 1565686128([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1565686128)
Adding 1558034796([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1558034796)
Adding 1557737647([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1557737647)
Adding 705924057([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/705924057)
Adding 1539314168([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1539314168)
Adding 1539310979([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1539310979)
Adding 1557137419([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1557137419)
Adding 1530276152([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1530276152)
Adding 1543063349([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1543063349)
Adding 1552457762([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1552457762)
Adding 1553179450([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1553179450)
Adding 1552507180([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1552507180)
Adding 1552455241([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1552455241)
Adding 1552461911([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1552461911)
Adding 1552452572([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1552452572)
Adding 1544705976([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1544705976)
Adding 1554201803([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1554201803)
Adding 1551734715([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1551734715)
Adding 1555355332([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1555355332)
Adding 1464989530([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1464989530)
Adding 1540322147([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1540322147)
Adding 1539312601([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1539312601)
Adding 1509102551([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1509102551)
Adding 1496140597([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1496140597)
Adding 1496097305([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1496097305)
Adding 1489425285([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1489425285)
Adding 1470065926([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1470065926)
Adding 1438488634([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1438488634)
Adding 1437612522([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1437612522)
Adding 1386385125([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1386385125)
Adding 1273624741([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1273624741)
Adding 1204258045([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1204258045)
Adding 1133818670([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1133818670)
Adding 1102480398([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1102480398)
Adding 935690596([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/935690596)
Adding 926698084([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/926698084)
Adding 831068902([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/831068902)
Adding 1544626521([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1544626521)
Adding 1542854752([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1542854752)
Adding 1542424705([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1542424705)
Adding 1542424571([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1542424571)
Adding 1542393171([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1542393171)
Adding 1541262111([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1541262111)
Adding 1525557407([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1525557407)
Adding 1517258570([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1517258570)
Adding 1511789892([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1511789892)
Adding 1511375007([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1511375007)
Adding 1428628928([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1428628928)
Adding 1399212509([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1399212509)
Adding 1356838246([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1356838246)
Adding 1353625821([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1353625821)
Adding 1319782555([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1319782555)
Adding 1309994319([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1309994319)
Adding 1307441653([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1307441653)
Adding 1256708879([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1256708879)
Adding 1224175982([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1224175982)
Adding 1220457011([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1220457011)
Adding 1207390617([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1207390617)
Adding 1206001612([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1206001612)
Adding 1192504741([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1192504741)
Adding 1185281838([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1185281838)
Adding 1185274792([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1185274792)
Adding 1180719857([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1180719857)
Adding 1180719658([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1180719658)
Adding 1180718996([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1180718996)
Adding 1158568885([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1158568885)
Adding 1147799676([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1147799676)
Adding 1135543265([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1135543265)
Adding 1135542536([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1135542536)
Adding 1131675461([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1131675461)
Adding 1076950211([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1076950211)
Adding 974066449([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/974066449)
Adding 963265186([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/963265186)
Adding 928368180([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/928368180)
Adding 895944018([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/895944018)
Adding 887716138([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/887716138)
Adding 853043503([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/853043503)
Adding 751049133([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/751049133)
Adding 736207111([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/736207111)
Adding 731878767([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/731878767)
Adding 728315620([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/728315620)
Adding 725447220([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/725447220)
Adding 725219116([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/725219116)
Adding 1544944259([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1544944259)
Adding 1543094280([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1543094280)
Adding 1543069597([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1543069597)
Adding 1542915888([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1542915888)
Adding 1541250497([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1541250497)
Adding 1516356320([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1516356320)
Adding 1512558815([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1512558815)
Adding 1508850027([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1508850027)
Adding 1507748539([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1507748539)
Adding 1506715860([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1506715860)
Adding 1506336556([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1506336556)
Adding 1506238975([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1506238975)
Adding 1478936958([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1478936958)
Adding 1467764609([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1467764609)
Adding 1447140290([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1447140290)
Adding 1414438179([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1414438179)
Adding 1372130541([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1372130541)
Adding 1365242717([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1365242717)
Adding 1346609640([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1346609640)
Adding 1323773476([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1323773476)
Adding 1266570759([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1266570759)
Adding 1222191290([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1222191290)
Adding 1204108550([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1204108550)
Adding 1157085076([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1157085076)
Adding 1156492920([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1156492920)
Adding 1106719215([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1106719215)
Adding 1095331978([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1095331978)
Adding 1055485938([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1055485938)
Adding 955561873([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/955561873)
Adding 950475543([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/950475543)
Adding 946390822([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/946390822)
Adding 899714534([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/899714534)
Adding 881100382([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/881100382)
Adding 872762753([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/872762753)
Adding 858744731([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/858744731)
Adding 857350593([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/857350593)
Adding 848972794([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/848972794)
Adding 846222458([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/846222458)
Adding 794038005([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/794038005)
Adding 774543761([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/774543761)
Adding 769201959([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/769201959)
Adding 755227567([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/755227567)
Adding 747645520([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/747645520)
Adding 736139206([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/736139206)
Adding 732569232([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/732569232)
Adding 728314182([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/728314182)
Adding 726479594([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/726479594)
Adding 725952322([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/725952322)
Adding 725949967([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/725949967)
Adding 725465444([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/725465444)
Adding 717575199([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/717575199)
Adding 715565817([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/715565817)
Adding 709317151([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/709317151)
Adding 704182588([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/704182588)
Adding 1543064443([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1543064443)
Adding 1518932357([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1518932357)
Adding 1498067736([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1498067736)
Adding 1484555709([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1484555709)
Adding 1472131714([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1472131714)
Adding 1419872425([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1419872425)
Adding 1331961995([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1331961995)
Adding 1322328003([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1322328003)
Adding 1316188771([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1316188771)
Adding 1316142788([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1316142788)
Adding 1257032899([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1257032899)
Adding 1253251478([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1253251478)
Adding 1231617602([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1231617602)
Adding 1229377025([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1229377025)
Adding 1217001091([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1217001091)
Adding 1216999901([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1216999901)
Adding 1208781538([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1208781538)
Adding 1206669918([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1206669918)
Adding 1204146321([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1204146321)
Adding 1142770176([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1142770176)
Adding 1138962461([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1138962461)
Adding 1136958577([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1136958577)
Adding 1083959631([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1083959631)
Adding 949498803([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/949498803)
Adding 946365802([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/946365802)
Adding 942369931([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/942369931)
Adding 870089952([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/870089952)
Adding 867467808([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/867467808)
Adding 843715469([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/843715469)
Adding 824831260([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/824831260)
Adding 818773962([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/818773962)
Adding 815039373([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/815039373)
Adding 797360572([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/797360572)
Adding 784327493([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/784327493)
Adding 777197241([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/777197241)
Adding 775578146([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/775578146)
Adding 761219125([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/761219125)
Adding 751841890([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/751841890)
Adding 735106432([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/735106432)
Adding 726244033([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/726244033)
Adding 726121441([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/726121441)
Adding 725956940([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/725956940)
Adding 725576127([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/725576127)
Adding 715759739([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/715759739)
Adding 1542424263([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1542424263)
Adding 1381494864([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1381494864)
Adding 1319614331([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1319614331)
Adding 1195427067([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1195427067)
Adding 1178676017([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1178676017)
Adding 1134165362([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1134165362)
Adding 973091113([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/973091113)
Adding 953429016([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/953429016)
Adding 935982361([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/935982361)
Adding 934235098([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/934235098)
Adding 841092540([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/841092540)
Adding 765890823([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/765890823)
Adding 764375772([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/764375772)
Adding 730936602([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/730936602)
Adding 715565262([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/715565262)
Adding 708455313([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/708455313)
Adding 949518817([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/949518817)
Adding 949283405([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/949283405)
Adding 761421485([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/761421485)
Adding 712141500([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/712141500)
Adding 726372052([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/726372052)
Adding 1574977528([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1574977528)
Adding 1558161673([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1558161673)
Adding 833899765([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/833899765)
Adding 1115992148([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1115992148)
Adding 1508778962([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1508778962)
Adding 1505423207([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1505423207)
Adding 1421919369([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1421919369)
Adding 1528295006([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1528295006)
Adding 1321849735([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1321849735)
Adding 1551109143([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1551109143)
Adding 1551336515([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1551336515)
Adding 1508292711([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1508292711)
Adding 1508165821([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1508165821)
Adding 1496122245([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1496122245)
Adding 1541722340([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1541722340)
Adding 761379469([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/761379469)
Adding 754637870([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/754637870)
Adding 717632155([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/717632155)
Adding 704181221([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/704181221)
Adding 758810805([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/758810805)
Adding 1496570408([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1496570408)
Adding 1542424432([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1542424432)
Adding 1180573408([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1180573408)
Adding 944381237([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/944381237)
Adding 939249024([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/939249024)
Adding 937725712([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/937725712)
Adding 935859141([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/935859141)
Adding 1117406550([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1117406550)
Adding 1369828656([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1369828656)
Adding 1571623829([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1571623829)
Adding 1552432811([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1552432811)
Adding 1539315254([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1539315254)
Adding 1539311500([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1539311500)
Adding 1539310322([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1539310322)
Adding 1507384362([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1507384362)
Adding 1496115783([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1496115783)
Adding 1541460369([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1541460369)
Adding 1539309348([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1539309348)
Adding 737358776([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/737358776)
Adding 1543177113([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1543177113)
Adding 1372003680([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1372003680)
Adding 1279012058([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1279012058)
Adding 1127530465([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1127530465)
Adding 1414697588([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1414697588)
Adding 1235181370([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1235181370)
Adding 836308268([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/836308268)
Adding 1541721856([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1541721856)
Adding 746425621([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/746425621)
Adding 761315214([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/761315214)
Adding 933324235([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/933324235)
Adding 1548649032([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1548649032)
Adding 1539312975([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1539312975)
Adding 1210535987([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1210535987)
Adding 1140051385([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1140051385)
Adding 1541281528([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1541281528)
Adding 1354114366([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1354114366)
Adding 1221668621([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1221668621)
Adding 812653710([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/812653710)
Adding 735241897([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/735241897)
Adding 727710731([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/727710731)
Adding 1446523594([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1446523594)
Adding 932008009([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/932008009)
Adding 745193823([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/745193823)
Adding 1164753788([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1164753788)
Adding 1546370684([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1546370684)
Adding 1611200179([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1611200179)
Adding 1567074403([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1567074403)
Adding 1511926373([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1511926373)
Adding 937331021([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/937331021)
Adding 1571625849([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1571625849)
Adding 1461790308([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1461790308)
Adding 832328837([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/832328837)
Adding 841904008([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/841904008)
Adding 1608498683([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1608498683)
Adding 1602597619([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1602597619)
Adding 1503448404([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1503448404)
Adding 1591142767([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1591142767)
Adding 1595812861([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1595812861)
Adding 1593287612([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1593287612)
Adding 1590579367([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1590579367)
Adding 1465459039([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1465459039)
Adding 1588616696([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1588616696)
Adding 1588681499([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1588681499)
Adding 1531882210([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1531882210)
Adding 1547782284([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1547782284)
Adding 1550972678([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1550972678)
Adding 1543157124([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1543157124)
Adding 1454024362([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1454024362)
Adding 760088748([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/760088748)
Adding 1566269698([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1566269698)
Adding 964765466([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/964765466)
Adding 915345933([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/915345933)
Adding 1233893175([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1233893175)
Adding 1180721235([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1180721235)
Adding 1541536041([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1541536041)
Adding 1541528454([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1541528454)
Adding 1541260846([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1541260846)
Adding 1505914869([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1505914869)
Adding 1385697110([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1385697110)
Adding 1188485723([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1188485723)
Adding 1185906628([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1185906628)
Adding 1185307686([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1185307686)
Adding 1185276569([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1185276569)
Adding 1185269798([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1185269798)
Adding 1185265132([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1185265132)
Adding 1180720427([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1180720427)
Adding 927155256([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/927155256)
Adding 849231601([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/849231601)
Adding 735268789([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/735268789)
Adding 1521844535([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1521844535)
Adding 1414302321([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1414302321)
Adding 1103809207([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1103809207)
Adding 1084452457([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1084452457)
Adding 962732083([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/962732083)
Adding 736172213([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/736172213)
Adding 725947920([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/725947920)
Adding 724602224([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/724602224)
Adding 1541261044([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1541261044)
Adding 1500981707([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1500981707)
Adding 1204502413([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1204502413)
Adding 1113137502([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1113137502)
Adding 1082915328([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1082915328)
Adding 839005762([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/839005762)
Adding 818322128([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/818322128)
Adding 753498552([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/753498552)
Adding 731287727([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/731287727)
Adding 1440321094([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1440321094)
Adding 728381322([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/728381322)
Adding 1098354593([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1098354593)
Adding 1586143254([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1586143254)
Adding 1624550064([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1624550064)
Adding 1561221991([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1561221991)
Adding 1628211313([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1628211313)
Deactivating not-installed mods:
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Rebuilding mods list
Adding mods from mods folder:
Adding Core([Rimworld_dir]/Mods/Core)
Adding mods from Steam:
Adding 824601339([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/824601339)
Adding 832333531([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/832333531)
Adding 1508341791([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1508341791)
Adding 1503813330([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1503813330)
Adding 1502703299([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1502703299)
Adding 1292711799([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1292711799)
Adding 1537104826([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1537104826)
Adding 1560046317([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1560046317)
Adding 1565686128([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1565686128)
Adding 1558034796([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1558034796)
Adding 1557737647([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1557737647)
Adding 705924057([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/705924057)
Adding 1539314168([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1539314168)
Adding 1539310979([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1539310979)
Adding 1557137419([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1557137419)
Adding 1530276152([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1530276152)
Adding 1543063349([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1543063349)
Adding 1552457762([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1552457762)
Adding 1553179450([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1553179450)
Adding 1552507180([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1552507180)
Adding 1552455241([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1552455241)
Adding 1552461911([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1552461911)
Adding 1552452572([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1552452572)
Adding 1544705976([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1544705976)
Adding 1554201803([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1554201803)
Adding 1551734715([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1551734715)
Adding 1555355332([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1555355332)
Adding 1464989530([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1464989530)
Adding 1540322147([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1540322147)
Adding 1539312601([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1539312601)
Adding 1509102551([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1509102551)
Adding 1496140597([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1496140597)
Adding 1496097305([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1496097305)
Adding 1489425285([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1489425285)
Adding 1470065926([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1470065926)
Adding 1438488634([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1438488634)
Adding 1437612522([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1437612522)
Adding 1386385125([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1386385125)
Adding 1273624741([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1273624741)
Adding 1204258045([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1204258045)
Adding 1133818670([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1133818670)
Adding 1102480398([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1102480398)
Adding 935690596([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/935690596)
Adding 926698084([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/926698084)
Adding 831068902([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/831068902)
Adding 1544626521([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1544626521)
Adding 1542854752([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1542854752)
Adding 1542424705([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1542424705)
Adding 1542424571([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1542424571)
Adding 1542393171([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1542393171)
Adding 1541262111([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1541262111)
Adding 1525557407([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1525557407)
Adding 1517258570([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1517258570)
Adding 1511789892([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1511789892)
Adding 1511375007([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1511375007)
Adding 1428628928([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1428628928)
Adding 1399212509([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1399212509)
Adding 1356838246([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1356838246)
Adding 1353625821([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1353625821)
Adding 1319782555([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1319782555)
Adding 1309994319([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1309994319)
Adding 1307441653([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1307441653)
Adding 1256708879([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1256708879)
Adding 1224175982([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1224175982)
Adding 1220457011([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1220457011)
Adding 1207390617([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1207390617)
Adding 1206001612([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1206001612)
Adding 1192504741([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1192504741)
Adding 1185281838([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1185281838)
Adding 1185274792([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1185274792)
Adding 1180719857([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1180719857)
Adding 1180719658([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1180719658)
Adding 1180718996([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1180718996)
Adding 1158568885([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1158568885)
Adding 1147799676([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1147799676)
Adding 1135543265([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1135543265)
Adding 1135542536([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1135542536)
Adding 1131675461([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1131675461)
Adding 1076950211([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1076950211)
Adding 974066449([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/974066449)
Adding 963265186([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/963265186)
Adding 928368180([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/928368180)
Adding 895944018([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/895944018)
Adding 887716138([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/887716138)
Adding 853043503([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/853043503)
Adding 751049133([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/751049133)
Adding 736207111([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/736207111)
Adding 731878767([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/731878767)
Adding 728315620([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/728315620)
Adding 725447220([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/725447220)
Adding 725219116([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/725219116)
Adding 1544944259([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1544944259)
Adding 1543094280([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1543094280)
Adding 1543069597([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1543069597)
Adding 1542915888([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1542915888)
Adding 1541250497([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1541250497)
Adding 1516356320([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1516356320)
Adding 1512558815([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1512558815)
Adding 1508850027([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1508850027)
Adding 1507748539([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1507748539)
Adding 1506715860([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1506715860)
Adding 1506336556([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1506336556)
Adding 1506238975([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1506238975)
Adding 1478936958([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1478936958)
Adding 1467764609([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1467764609)
Adding 1447140290([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1447140290)
Adding 1414438179([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1414438179)
Adding 1372130541([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1372130541)
Adding 1365242717([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1365242717)
Adding 1346609640([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1346609640)
Adding 1323773476([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1323773476)
Adding 1266570759([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1266570759)
Adding 1222191290([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1222191290)
Adding 1204108550([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1204108550)
Adding 1157085076([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1157085076)
Adding 1156492920([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1156492920)
Adding 1106719215([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1106719215)
Adding 1095331978([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1095331978)
Adding 1055485938([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1055485938)
Adding 955561873([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/955561873)
Adding 950475543([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/950475543)
Adding 946390822([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/946390822)
Adding 899714534([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/899714534)
Adding 881100382([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/881100382)
Adding 872762753([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/872762753)
Adding 858744731([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/858744731)
Adding 857350593([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/857350593)
Adding 848972794([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/848972794)
Adding 846222458([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/846222458)
Adding 794038005([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/794038005)
Adding 774543761([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/774543761)
Adding 769201959([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/769201959)
Adding 755227567([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/755227567)
Adding 747645520([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/747645520)
Adding 736139206([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/736139206)
Adding 732569232([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/732569232)
Adding 728314182([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/728314182)
Adding 726479594([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/726479594)
Adding 725952322([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/725952322)
Adding 725949967([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/725949967)
Adding 725465444([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/725465444)
Adding 717575199([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/717575199)
Adding 715565817([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/715565817)
Adding 709317151([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/709317151)
Adding 704182588([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/704182588)
Adding 1543064443([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1543064443)
Adding 1518932357([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1518932357)
Adding 1498067736([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1498067736)
Adding 1484555709([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1484555709)
Adding 1472131714([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1472131714)
Adding 1419872425([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1419872425)
Adding 1331961995([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1331961995)
Adding 1322328003([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1322328003)
Adding 1316188771([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1316188771)
Adding 1316142788([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1316142788)
Adding 1257032899([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1257032899)
Adding 1253251478([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1253251478)
Adding 1231617602([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1231617602)
Adding 1229377025([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1229377025)
Adding 1217001091([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1217001091)
Adding 1216999901([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1216999901)
Adding 1208781538([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1208781538)
Adding 1206669918([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1206669918)
Adding 1204146321([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1204146321)
Adding 1142770176([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1142770176)
Adding 1138962461([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1138962461)
Adding 1136958577([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1136958577)
Adding 1083959631([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1083959631)
Adding 949498803([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/949498803)
Adding 946365802([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/946365802)
Adding 942369931([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/942369931)
Adding 870089952([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/870089952)
Adding 867467808([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/867467808)
Adding 843715469([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/843715469)
Adding 824831260([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/824831260)
Adding 818773962([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/818773962)
Adding 815039373([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/815039373)
Adding 797360572([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/797360572)
Adding 784327493([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/784327493)
Adding 777197241([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/777197241)
Adding 775578146([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/775578146)
Adding 761219125([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/761219125)
Adding 751841890([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/751841890)
Adding 735106432([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/735106432)
Adding 726244033([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/726244033)
Adding 726121441([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/726121441)
Adding 725956940([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/725956940)
Adding 725576127([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/725576127)
Adding 715759739([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/715759739)
Adding 1542424263([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1542424263)
Adding 1381494864([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1381494864)
Adding 1319614331([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1319614331)
Adding 1195427067([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1195427067)
Adding 1178676017([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1178676017)
Adding 1134165362([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1134165362)
Adding 973091113([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/973091113)
Adding 953429016([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/953429016)
Adding 935982361([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/935982361)
Adding 934235098([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/934235098)
Adding 841092540([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/841092540)
Adding 765890823([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/765890823)
Adding 764375772([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/764375772)
Adding 730936602([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/730936602)
Adding 715565262([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/715565262)
Adding 708455313([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/708455313)
Adding 949518817([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/949518817)
Adding 949283405([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/949283405)
Adding 761421485([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/761421485)
Adding 712141500([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/712141500)
Adding 726372052([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/726372052)
Adding 1574977528([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1574977528)
Adding 1558161673([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1558161673)
Adding 833899765([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/833899765)
Adding 1115992148([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1115992148)
Adding 1508778962([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1508778962)
Adding 1505423207([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1505423207)
Adding 1421919369([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1421919369)
Adding 1528295006([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1528295006)
Adding 1321849735([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1321849735)
Adding 1551109143([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1551109143)
Adding 1551336515([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1551336515)
Adding 1508292711([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1508292711)
Adding 1508165821([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1508165821)
Adding 1496122245([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1496122245)
Adding 1541722340([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1541722340)
Adding 761379469([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/761379469)
Adding 754637870([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/754637870)
Adding 717632155([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/717632155)
Adding 704181221([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/704181221)
Adding 758810805([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/758810805)
Adding 1496570408([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1496570408)
Adding 1542424432([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1542424432)
Adding 1180573408([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1180573408)
Adding 944381237([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/944381237)
Adding 939249024([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/939249024)
Adding 937725712([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/937725712)
Adding 935859141([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/935859141)
Adding 1117406550([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1117406550)
Adding 1369828656([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1369828656)
Adding 1571623829([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1571623829)
Adding 1552432811([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1552432811)
Adding 1539315254([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1539315254)
Adding 1539311500([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1539311500)
Adding 1539310322([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1539310322)
Adding 1507384362([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1507384362)
Adding 1496115783([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1496115783)
Adding 1541460369([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1541460369)
Adding 1539309348([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1539309348)
Adding 737358776([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/737358776)
Adding 1543177113([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1543177113)
Adding 1372003680([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1372003680)
Adding 1279012058([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1279012058)
Adding 1127530465([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1127530465)
Adding 1414697588([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1414697588)
Adding 1235181370([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1235181370)
Adding 836308268([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/836308268)
Adding 1541721856([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1541721856)
Adding 746425621([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/746425621)
Adding 761315214([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/761315214)
Adding 933324235([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/933324235)
Adding 1548649032([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1548649032)
Adding 1539312975([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1539312975)
Adding 1210535987([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1210535987)
Adding 1140051385([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1140051385)
Adding 1541281528([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1541281528)
Adding 1354114366([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1354114366)
Adding 1221668621([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1221668621)
Adding 812653710([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/812653710)
Adding 735241897([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/735241897)
Adding 727710731([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/727710731)
Adding 1446523594([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1446523594)
Adding 932008009([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/932008009)
Adding 745193823([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/745193823)
Adding 1164753788([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1164753788)
Adding 1546370684([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1546370684)
Adding 1611200179([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1611200179)
Adding 1567074403([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1567074403)
Adding 1511926373([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1511926373)
Adding 937331021([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/937331021)
Adding 1571625849([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1571625849)
Adding 1461790308([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1461790308)
Adding 832328837([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/832328837)
Adding 841904008([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/841904008)
Adding 1608498683([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1608498683)
Adding 1602597619([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1602597619)
Adding 1503448404([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1503448404)
Adding 1591142767([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1591142767)
Adding 1595812861([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1595812861)
Adding 1593287612([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1593287612)
Adding 1590579367([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1590579367)
Adding 1465459039([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1465459039)
Adding 1588616696([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1588616696)
Adding 1588681499([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1588681499)
Adding 1531882210([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1531882210)
Adding 1547782284([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1547782284)
Adding 1550972678([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1550972678)
Adding 1543157124([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1543157124)
Adding 1454024362([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1454024362)
Adding 760088748([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/760088748)
Adding 1566269698([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1566269698)
Adding 964765466([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/964765466)
Adding 915345933([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/915345933)
Adding 1233893175([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1233893175)
Adding 1180721235([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1180721235)
Adding 1541536041([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1541536041)
Adding 1541528454([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1541528454)
Adding 1541260846([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1541260846)
Adding 1505914869([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1505914869)
Adding 1385697110([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1385697110)
Adding 1188485723([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1188485723)
Adding 1185906628([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1185906628)
Adding 1185307686([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1185307686)
Adding 1185276569([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1185276569)
Adding 1185269798([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1185269798)
Adding 1185265132([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1185265132)
Adding 1180720427([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1180720427)
Adding 927155256([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/927155256)
Adding 849231601([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/849231601)
Adding 735268789([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/735268789)
Adding 1521844535([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1521844535)
Adding 1414302321([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1414302321)
Adding 1103809207([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1103809207)
Adding 1084452457([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1084452457)
Adding 962732083([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/962732083)
Adding 736172213([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/736172213)
Adding 725947920([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/725947920)
Adding 724602224([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/724602224)
Adding 1541261044([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1541261044)
Adding 1500981707([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1500981707)
Adding 1204502413([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1204502413)
Adding 1113137502([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1113137502)
Adding 1082915328([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1082915328)
Adding 839005762([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/839005762)
Adding 818322128([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/818322128)
Adding 753498552([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/753498552)
Adding 731287727([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/731287727)
Adding 1440321094([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1440321094)
Adding 728381322([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/728381322)
Adding 1098354593([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1098354593)
Adding 1586143254([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1586143254)
Adding 1624550064([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1624550064)
Adding 1561221991([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1561221991)
Adding 1628211313([Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1628211313)
Deactivating not-installed mods:
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[HugsLib] version 6.1.1
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Combat Readiness Check: Trying to patch Map.PlayerWealthForStoryteller
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Combat Readiness Check: Patched Map.PlayerWealthForStoryteller.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Combat Readiness Check: Trying to patch Caravan.PlayerWealthForStoryteller
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Combat Readiness Check: Patched Caravan.PlayerWealthForStoryteller.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Combat Readiness Check: Trying to patch StorytellerUtility.DefaultThreatPointsNow
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Combat Readiness Check: Patched StorytellerUtility.DefaultThreatPointsNow.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
ConsolidatedTraits Harmony Patches:
Prefix:
GameComponentUtility.StartedNewGame
GameComponentUtility.LoadedGame
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
DubsMintMenus 1.0.141
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Fluffy Breakdowns :: Initialized
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
requesting resize 1920 x 1080
Using native desktop resolution 1920 x 1080
requesting fullscreen 1920 x 1080 at 60 Hz
Desktop is 1920 x 1080 @ 60 Hz
RSA Core loaded...
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
RSA Main injected ...
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[RuntimeGC] Mod settings loaded.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Snow Clearance Sanity: Trying to patch WorkGiver_ClearSnow.HasJobOnCell.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Snow Clearance Sanity: Patched WorkGiver_ClearSnow.HasJobOnCell.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
ZLJ's Static Quality Plus :: Initialized
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Ugh You Got Me: Trying to patch MentalState_SocialFighting.ShouldStop.get.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Ugh You Got Me: Patched MentalState_SocialFighting.ShouldStop.get.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Ugh You Got Me: Trying to patch JobGiver_SocialFighting.TryGiveJob
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Ugh You Got Me: Patched JobGiver_SocialFighting.TryGiveJob.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Dubs Skylight 1.4.651
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
BadHygiene 2.4.648
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Rimatomics 1.6.689
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Rimefeller 1.1.267
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Frontier Developments Shields :: Loaded
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Frontier Developments Shields :: enabled Centralized Climate Control support
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
XML error: Duplicate XML node name comps in this XML block: <ThingDef ParentName="AnimalThingBase"><defName>Deer</defName><label>deer</label><comps><li Class="CompProperties_Milkable"><milkDef>Milk</milkDef><milkIntervalDays>2</milkIntervalDays><milkAmount>6</milkAmount></li></comps><description>A medium-sized herding herbivore which prefers to live in mixed forests and plains. Deer are very quick.</description><statBases><MoveSpeed>5.5</MoveSpeed><MarketValue>250</MarketValue><ComfyTemperatureMin>-30</ComfyTemperatureMin></statBases><tools><li><label>left hoof</label><capacities><li>Blunt</li><li>Poke</li></capacities><power>7</power><cooldownTime>2</cooldownTime><linkedBodyPartsGroup>FrontLeftLeg</linkedBodyPartsGroup></li><li><label>right hoof</label><capacities><li>Blunt</li><li>Poke</li></capacities><power>7</power><cooldownTime>2</cooldownTime><linkedBodyPartsGroup>FrontRightLeg</linkedBodyPartsGroup></li><li><label>teeth</label><capacities><li>Bite</li></capacities><power>8</power><cooldownTime>2</cooldownTime><linkedBodyPartsGroup>Teeth</linkedBodyPartsGroup><chanceFactor>0.5</chanceFactor></li><li><label>head</label><capacities><li>Blunt</li></capacities><power>5</power><cooldownTime>2</cooldownTime><linkedBodyPartsGroup>HeadAttackTool</linkedBodyPartsGroup><ensureLinkedBodyPartsGroupAlwaysUsable>true</ensureLinkedBodyPartsGroupAlwaysUsable><chanceFactor>0.2</chanceFactor></li></tools><race><body>KKAO_QuadrupedAnimalWithHoovesAndAntlers</body><herdAnimal>true</herdAnimal><baseBodySize>0.9</baseBodySize><baseHealthScale>0.9</baseHealthScale><baseHungerRate>0.40</baseHungerRate><foodType>VegetarianRoughAnimal</foodType><leatherDef>Leather_Plain</leatherDef><meatLabel>venison</meatLabel><wildness>0.75</wildness><nuzzleMtbHours>120</nuzzleMtbHours><gestationPeriodDays>24</gestationPeriodDays><lifeExpectancy>15</lifeExpectancy><manhunterOnDamageChance>0</manhunterOnDamageChance><manhunterOnTameFailChance>0</manhunterOnTameFailChance><lifeStageAges><li><def>AnimalBaby</def><minAge>0</minAge></li><li><def>AnimalJuvenile</def><minAge>0.2</minAge></li><li><def>AnimalAdult</def><minAge>0.5</minAge><soundWounded>Pawn_Deer_Wounded</soundWounded><soundDeath>Pawn_Deer_Death</soundDeath><soundCall>Pawn_Deer_Call</soundCall><soundAngry>Pawn_Deer_Angry</soundAngry></li></lifeStageAges><soundMeleeHitPawn>Pawn_Melee_BigBash_HitPawn</soundMeleeHitPawn><soundMeleeHitBuilding>Pawn_Melee_BigBash_HitBuilding</soundMeleeHitBuilding><soundMeleeMiss>Pawn_Melee_BigBash_Miss</soundMeleeMiss></race><tradeTags><li>AnimalCommon</li></tradeTags><comps><li Class="CompProperties_Milkable"><milkDef>Milk</milkDef><milkIntervalDays>2</milkIntervalDays><milkAmount>5</milkAmount></li></comps></ThingDef>
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
XML error: Duplicate XML node name butcherBodyPart in this XML block: <li><bodyGraphicData><texPath>Things/Pawn/Animal/Bovids/Bison/Bison</texPath><drawSize>2.4</drawSize><shadowData><volume>(0.5, 0.5, 0.5)</volume></shadowData></bodyGraphicData><dessicatedBodyGraphicData><texPath>Things/Pawn/Animal/Muffalo/Dessicated_Muffalo</texPath><drawSize>2.4</drawSize></dessicatedBodyGraphicData><butcherBodyPart><bodyPartGroup>HeadAttackTool</bodyPartGroup><thing>WoolPileACPBison</thing><allowFemale>true</allowFemale></butcherBodyPart><butcherBodyPart><bodyPartGroup>HornAttackTool</bodyPartGroup><thing>KKAO_ACPBisonHorn</thing><allowFemale>true</allowFemale></butcherBodyPart></li>
Root node: <PawnKindDef ParentName="AnimalKindBase"><defName>ACPBison</defName><label>bison</label><race>ACPBison</race><combatPower>95</combatPower><ecoSystemWeight>0.5</ecoSystemWeight><wildGroupSize><min>6</min><max>14</max></wildGroupSize><lifeStages><li><bodyGraphicData><texPath>Things/Pawn/Animal/Bovids/Bison/Bison</texPath><drawSize>1.3</drawSize><shadowData><volume>(0.3, 0.3, 0.3)</volume></shadowData></bodyGraphicData><dessicatedBodyGraphicData><texPath>Things/Pawn/Animal/Muffalo/Dessicated_Muffalo</texPath><drawSize>1.3</drawSize></dessicatedBodyGraphicData></li><li><bodyGraphicData><texPath>Things/Pawn/Animal/Bovids/Bison/Bison</texPath><drawSize>1.7</drawSize><shadowData><volume>(0.4, 0.4, 0.4)</volume></shadowData></bodyGraphicData><dessicatedBodyGraphicData><texPath>Things/Pawn/Animal/Muffalo/Dessicated_Muffalo</texPath><drawSize>1.7</drawSize></dessicatedBodyGraphicData></li><li><bodyGraphicData><texPath>Things/Pawn/Animal/Bovids/Bison/Bison</texPath><drawSize>2.4</drawSize><shadowData><volume>(0.5, 0.5, 0.5)</volume></shadowData></bodyGraphicData><dessicatedBodyGraphicData><texPath>Things/Pawn/Animal/Muffalo/Dessicated_Muffalo</texPath><drawSize>2.4</drawSize></dessicatedBodyGraphicData><butcherBodyPart><bodyPartGroup>HeadAttackTool</bodyPartGroup><thing>WoolPileACPBison</thing><allowFemale>true</allowFemale></butcherBodyPart><butcherBodyPart><bodyPartGroup>HornAttackTool</bodyPartGroup><thing>KKAO_ACPBisonHorn</thing><allowFemale>true</allowFemale></butcherBodyPart></li></lifeStages></PawnKindDef>
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
XML error: Duplicate XML node name thingCategories in this XML block: <ThingDef ParentName="ApparelloRareBase"><defName>Apparello_Doom</defName><label>UAC marine helmet</label><description>The B4D-455 class infantry helmet used by the Union Aerospace Corporation marines during the incident at the Mars science facility. The production of these were since discontinued. A real relic of distant past</description><graphicData><texPath>Hats/Display/Doom</texPath><graphicClass>Graphic_Single</graphicClass></graphicData><thingCategories><li>Apparellohats</li></thingCategories><statBases><Mass>2</Mass><MarketValue>400</MarketValue><ArmorRating_Blunt>0.10</ArmorRating_Blunt><ArmorRating_Sharp>0.90</ArmorRating_Sharp></statBases><equippedStatOffsets><MoveSpeed>-0.05</MoveSpeed><PsychicSensitivity>-0.15</PsychicSensitivity><MentalBreakThreshold>-0.02</MentalBreakThreshold></equippedStatOffsets><generateAllowChance>0.03</generateAllowChance><apparel><bodyPartGroups><li>FullHead</li></bodyPartGroups><wornGraphicPath>Hats/Doom</wornGraphicPath><layers><li>Overhead</li></layers><tags><li>IndustrialBasic</li><li>IndustrialMilitaryBasic</li></tags><defaultOutfitTags><li>Soldier</li></defaultOutfitTags></apparel><colorGenerator Class="ColorGenerator_Options"><options><li><weight>4</weight><only>RGBA(1,1,1,1)</only></li></options></colorGenerator><thingCategories><li>Helmets</li></thingCategories></ThingDef>
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
XML error: Duplicate XML node name thingCategories in this XML block: <ThingDef ParentName="ApparelloRareBase"><defName>Apparel_Psymask</defName><label>psy co. gasmask™</label><description>The Psy company's gasmask™ has to be their most profiting product of all times.
It's built in vision sensor technology allowed the wearer to operate in thickest of toxic clouds and more importantly, survive.</description><graphicData><texPath>Hats/Display/Psymask</texPath><graphicClass>Graphic_Single</graphicClass></graphicData><thingCategories><li>Apparellohats</li></thingCategories><statBases><Mass>1</Mass><MarketValue>558</MarketValue><ArmorRating_Blunt>0.05</ArmorRating_Blunt><ArmorRating_Sharp>0.08</ArmorRating_Sharp></statBases><equippedStatOffsets><ShootingAccuracyPawn>0.02</ShootingAccuracyPawn><MoveSpeed>-0.02</MoveSpeed><ImmunityGainSpeed>0.10</ImmunityGainSpeed><ToxicSensitivity>-0.55</ToxicSensitivity></equippedStatOffsets><generateAllowChance>0.03</generateAllowChance><apparel><bodyPartGroups><li>FullHead</li></bodyPartGroups><wornGraphicPath>Hats/Psymask</wornGraphicPath><layers><li>Overhead</li></layers><tags><li>IndustrialBasic</li><li>IndustrialMilitaryBasic</li></tags><defaultOutfitTags><li>Soldier</li></defaultOutfitTags></apparel><colorGenerator Class="ColorGenerator_Options"><options><li><weight>4</weight><only>RGBA(1,1,1,1)</only></li></options></colorGenerator><thingCategories><li>Masks</li></thingCategories></ThingDef>
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
XML error: Duplicate XML node name thingCategories in this XML block: <ThingDef ParentName="ApparelloRareBase"><defName>Apparello_Zorro</defName><label>wanderer's hat and mask</label><description>This apparel is fashioned after the famed hat used by the legendary vigilante El Fox - 'En garde, noble foe! You cannot avoid justice- The vigilante justice!'</description><graphicData><texPath>Hats/Display/Zorro</texPath><graphicClass>Graphic_Single</graphicClass></graphicData><stuffCategories><li>Fabric</li><li>Leathery</li></stuffCategories><thingCategories><li>Apparellohats</li></thingCategories><statBases><Mass>0.16</Mass><MarketValue>500</MarketValue><ArmorRating_Blunt>0.04</ArmorRating_Blunt><ArmorRating_Sharp>0.04</ArmorRating_Sharp><Insulation_Heat>4</Insulation_Heat></statBases><equippedStatOffsets><SocialImpact>0.20</SocialImpact><MeleeHitChance>0.15</MeleeHitChance></equippedStatOffsets><generateAllowChance>0.05</generateAllowChance><apparel><bodyPartGroups><li>UpperHead</li><li>Eyes</li></bodyPartGroups><wornGraphicPath>Hats/Zorro</wornGraphicPath><layers><li>Overhead</li></layers><tags><li>IndustrialBasic</li><li>IndustrialMilitaryBasic</li><li>PersonalShield</li></tags><defaultOutfitTags><li>Soldier</li></defaultOutfitTags></apparel><colorGenerator Class="ColorGenerator_Options"><options><li><weight>4</weight><only>RGBA(1,1,1,1)</only></li></options></colorGenerator><thingCategories><li>Hats</li></thingCategories></ThingDef>
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
XML error: Duplicate XML node name thingCategories in this XML block: <ThingDef ParentName="ApparelloRareBase"><defName>Apparello_Footy</defName><label>clan marked balaclava</label><description>Without proper methods of finding out, You'll be forever uncertain whether this balaclava is the real deal or not. The marking on the forehead strongly suggests it indeed is a remnant of the ancient clan of pugilists</description><graphicData><texPath>Hats/Display/Footy</texPath><graphicClass>Graphic_Single</graphicClass></graphicData><stuffCategories><li>Fabric</li><li>Leathery</li></stuffCategories><thingCategories><li>Apparellohats</li></thingCategories><statBases><Mass>0.2</Mass><MarketValue>500</MarketValue><ArmorRating_Blunt>0.04</ArmorRating_Blunt><ArmorRating_Sharp>0.04</ArmorRating_Sharp><Insulation_Heat>4</Insulation_Heat></statBases><equippedStatOffsets><MeleeHitChance>0.20</MeleeHitChance></equippedStatOffsets><generateAllowChance>0.05</generateAllowChance><apparel><bodyPartGroups><li>FullHead</li></bodyPartGroups><wornGraphicPath>Hats/Footy</wornGraphicPath><layers><li>Overhead</li></layers><tags><li>IndustrialBasic</li><li>IndustrialMilitaryBasic</li><li>PersonalShield</li></tags><defaultOutfitTags><li>Soldier</li></defaultOutfitTags></apparel><colorGenerator Class="ColorGenerator_Options"><options><li><weight>4</weight><only>RGBA(1,1,1,1)</only></li></options></colorGenerator><thingCategories><li>Masks</li></thingCategories></ThingDef>
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Parsed 1.5 as int.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Parsed 2.5 as int.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
XML RimWorld.StatDef defines the same field twice: parts.
Field contents: 1.21.0510.9750.950.90.8(0, 1.75)(0.3, 1.3)(0.9, 1).
Whole XML:
<StatDef><defName>RangedWeapon_Cooldown</defName><label>ranged cooldown</label><description>How long it takes to recover after firing this weapon.</description><category>Weapon</category><defaultBaseValue>1</defaultBaseValue><minValue>0.01</minValue><toStringStyle>FloatTwo</toStringStyle><formatString>{0} s</formatString><showIfUndefined>false</showIfUndefined><displayPriorityInCategory>500</displayPriorityInCategory><parts><li Class="StatPart_Quality"><factorAwful>1.2</factorAwful><factorPoor>1.1</factorPoor><factorNormal>1</factorNormal><factorGood>0.95</factorGood><factorExcellent>0.88</factorExcellent><factorMasterwork>0.79</factorMasterwork><factorLegendary>0.7</factorLegendary></li></parts><scenarioRandomizable>true</scenarioRandomizable><parts><li Class="StatPart_Quality"><factorAwful>1.2</factorAwful><factorPoor>1.05</factorPoor><factorNormal>1</factorNormal><factorGood>0.975</factorGood><factorExcellent>0.95</factorExcellent><factorMasterwork>0.9</factorMasterwork><factorLegendary>0.8</factorLegendary></li><li Class="StatPart_Health"><curve><points><li>(0, 1.75)</li><li>(0.3, 1.3)</li><li>(0.9, 1)</li></points></curve></li></parts></StatDef>
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
XML Verse.PawnKindLifeStage defines the same field twice: butcherBodyPart.
Field contents: HornAttackToolKKAO_ACPBisonHorntrue.
Whole XML:
<li><bodyGraphicData><texPath>Things/Pawn/Animal/Bovids/Bison/Bison</texPath><drawSize>2.4</drawSize><shadowData><volume>(0.5, 0.5, 0.5)</volume></shadowData></bodyGraphicData><dessicatedBodyGraphicData><texPath>Things/Pawn/Animal/Muffalo/Dessicated_Muffalo</texPath><drawSize>2.4</drawSize></dessicatedBodyGraphicData><butcherBodyPart><bodyPartGroup>HeadAttackTool</bodyPartGroup><thing>WoolPileACPBison</thing><allowFemale>true</allowFemale></butcherBodyPart><butcherBodyPart><bodyPartGroup>HornAttackTool</bodyPartGroup><thing>KKAO_ACPBisonHorn</thing><allowFemale>true</allowFemale></butcherBodyPart></li>
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[Rim of Madness - Bones] Patch operation Verse.PatchOperationSequence(count=3, lastFailedOperation=Verse.PatchOperationRemove(/Defs/ThingDef[defName = "Pila"]/costList)) failed
file: [Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1180573408/Patches/NeolithicBonePatch.xml
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[Rim of Madness - Bones] Patch operation Verse.PatchOperationSequence(count=3, lastFailedOperation=Verse.PatchOperationRemove(/Defs/ThingDef[defName = "Bow_Short"]/costList)) failed
file: [Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1180573408/Patches/NeolithicBonePatch.xml
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[Rim of Madness - Bones] Patch operation Verse.PatchOperationSequence(count=3, lastFailedOperation=Verse.PatchOperationRemove(/Defs/ThingDef[defName = "Bow_Great"]/costList)) failed
file: [Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1180573408/Patches/NeolithicBonePatch.xml
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not resolve cross-reference: No Verse.SoundDef named Pawn_Smalldog_Angry found to give to Verse.LifeStageAge Verse.LifeStageAge (using undefined sound instead)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not resolve cross-reference: No Verse.SoundDef named Pawn_Attackdog_Angry found to give to Verse.LifeStageAge Verse.LifeStageAge (using undefined sound instead)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not resolve cross-reference: No Verse.SoundDef named Pawn_Attackdog_Angry found to give to Verse.LifeStageAge Verse.LifeStageAge (using undefined sound instead)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not resolve cross-reference: No Verse.SoundDef named Pawn_Attackdog_Angry found to give to Verse.LifeStageAge Verse.LifeStageAge (using undefined sound instead)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not resolve cross-reference: No Verse.SoundDef named Pawn_Attackdog_Angry found to give to Verse.LifeStageAge Verse.LifeStageAge (using undefined sound instead)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not resolve cross-reference: No Verse.SoundDef named Pawn_Attackdog_Angry found to give to Verse.LifeStageAge Verse.LifeStageAge (using undefined sound instead)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not resolve cross-reference to Verse.ThingDef named MedTimes_CraftingStation (wanter=recipeUsers)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not resolve cross-reference to Verse.ThingDef named MedTimes_CraftingStation (wanter=recipeUsers)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not resolve cross-reference to Verse.ThingDef named MedTimes_CraftingStation (wanter=recipeUsers)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not resolve cross-reference to Verse.ThingDef named MedTimes_BlacksmithForge (wanter=recipeUsers)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not resolve cross-reference to Verse.ThingDef named MedTimes_BlacksmithForge (wanter=recipeUsers)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not resolve cross-reference to Verse.ThingDef named MedTimes_BlacksmithForge (wanter=recipeUsers)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not resolve cross-reference to Verse.ThingDef named MedTimes_BlacksmithForgeTierTwo (wanter=recipeUsers)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not resolve cross-reference to Verse.ThingDef named MedTimes_BlacksmithForgeTierTwo (wanter=recipeUsers)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not resolve cross-reference to Verse.ThingDef named MedTimes_BlacksmithForgeTierTwo (wanter=recipeUsers)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not resolve cross-reference to Verse.ThingDef named MedTimes_BlacksmithForgeTierTwo (wanter=recipeUsers)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not resolve cross-reference to Verse.ThingDef named MedTimes_BlacksmithForgeTierTwo (wanter=recipeUsers)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Cannot call ItemFromXmlFile with resolveCrossRefs=true while loading is already in progress.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not resolve cross-reference to Verse.ThingDef named MedTimes_CraftingStation (wanter=recipeUsers)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not resolve cross-reference to Verse.ThingDef named MedTimes_CraftingStation (wanter=recipeUsers)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not resolve cross-reference to Verse.ThingDef named MedTimes_CraftingStation (wanter=recipeUsers)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not resolve cross-reference to Verse.ThingDef named MedTimes_BlacksmithForge (wanter=recipeUsers)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not resolve cross-reference to Verse.ThingDef named MedTimes_BlacksmithForge (wanter=recipeUsers)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not resolve cross-reference to Verse.ThingDef named MedTimes_BlacksmithForge (wanter=recipeUsers)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not resolve cross-reference to Verse.ThingDef named MedTimes_BlacksmithForgeTierTwo (wanter=recipeUsers)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not resolve cross-reference to Verse.ThingDef named MedTimes_BlacksmithForgeTierTwo (wanter=recipeUsers)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not resolve cross-reference to Verse.ThingDef named MedTimes_BlacksmithForgeTierTwo (wanter=recipeUsers)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not resolve cross-reference to Verse.ThingDef named MedTimes_BlacksmithForgeTierTwo (wanter=recipeUsers)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not resolve cross-reference to Verse.ThingDef named MedTimes_BlacksmithForgeTierTwo (wanter=recipeUsers)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Cannot call ItemFromXmlFile with resolveCrossRefs=true while loading is already in progress.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not resolve cross-reference to Verse.ThingDef named MedTimes_CraftingStation (wanter=recipeUsers)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not resolve cross-reference to Verse.ThingDef named MedTimes_CraftingStation (wanter=recipeUsers)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not resolve cross-reference to Verse.ThingDef named MedTimes_CraftingStation (wanter=recipeUsers)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not resolve cross-reference to Verse.ThingDef named MedTimes_BlacksmithForge (wanter=recipeUsers)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not resolve cross-reference to Verse.ThingDef named MedTimes_BlacksmithForge (wanter=recipeUsers)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not resolve cross-reference to Verse.ThingDef named MedTimes_BlacksmithForge (wanter=recipeUsers)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not resolve cross-reference to Verse.ThingDef named MedTimes_BlacksmithForgeTierTwo (wanter=recipeUsers)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not resolve cross-reference to Verse.ThingDef named MedTimes_BlacksmithForgeTierTwo (wanter=recipeUsers)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not resolve cross-reference to Verse.ThingDef named MedTimes_BlacksmithForgeTierTwo (wanter=recipeUsers)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not resolve cross-reference to Verse.ThingDef named MedTimes_BlacksmithForgeTierTwo (wanter=recipeUsers)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not resolve cross-reference to Verse.ThingDef named MedTimes_BlacksmithForgeTierTwo (wanter=recipeUsers)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
BodyDef MechanicalCentipede has BodyPartRecord of MechanicalCentipedeBodyFirstRing whose children have more coverage than 1.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
BodyDef AA_Squid has BodyPartRecord of SnakeBody whose children have more coverage than 1.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
BodyDef Fish has BodyPartRecord of Body whose children have more coverage than 1.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
BodyDef Squid has BodyPartRecord of SquidBody whose children have more coverage than 1.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
patching Building_ShieldGenerator
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
patching Building_ShieldGeneratorLarge
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Cannot call ItemFromXmlFile with resolveCrossRefs=true while loading is already in progress.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not resolve cross-reference to Verse.ThingDef named MedTimes_CraftingStation (wanter=recipeUsers)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not resolve cross-reference to Verse.ThingDef named MedTimes_CraftingStation (wanter=recipeUsers)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not resolve cross-reference to Verse.ThingDef named MedTimes_CraftingStation (wanter=recipeUsers)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not resolve cross-reference to Verse.ThingDef named MedTimes_BlacksmithForge (wanter=recipeUsers)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not resolve cross-reference to Verse.ThingDef named MedTimes_BlacksmithForge (wanter=recipeUsers)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not resolve cross-reference to Verse.ThingDef named MedTimes_BlacksmithForge (wanter=recipeUsers)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not resolve cross-reference to Verse.ThingDef named MedTimes_BlacksmithForgeTierTwo (wanter=recipeUsers)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not resolve cross-reference to Verse.ThingDef named MedTimes_BlacksmithForgeTierTwo (wanter=recipeUsers)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not resolve cross-reference to Verse.ThingDef named MedTimes_BlacksmithForgeTierTwo (wanter=recipeUsers)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not resolve cross-reference to Verse.ThingDef named MedTimes_BlacksmithForgeTierTwo (wanter=recipeUsers)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not resolve cross-reference to Verse.ThingDef named MedTimes_BlacksmithForgeTierTwo (wanter=recipeUsers)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Key binding conflict: MainTab_kNumbersOverviewTab and MainTab_MintMenus are both bound to Z.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Key binding conflict: MainTab_MintMenus and MainTab_kNumbersOverviewTab are both bound to Z.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Thread 2 ---
894303ms LoadAllPlayData
- 258924ms Load all active mods.
- - 1ms Initializing [1544705976|ModCheck]
- - 0ms Initializing [Core|Core]
- - 0ms Initializing [818773962|HugsLib]
- - 0ms Initializing [932008009|JecsTools]
- - 0ms Initializing [704181221|Miscellaneous 'CORE']
- - 0ms Initializing [839005762|Humanoid Alien Races 2.0]
- - 0ms Initializing [1507748539|Mod Manager]
- - 0ms Initializing [1216999901|Giddy-up! Core]
- - 0ms Initializing [1217001091|Giddy-up! Battle Mounts]
- - 0ms Initializing [1253251478|Giddy-up! Caravan]
- - 0ms Initializing [1331961995|Giddy-up! Ride and Roll]
- - 0ms Initializing [1316188771|Doors Expanded]
- - 0ms Initializing [1509102551|[KV] Faction Control - 1.0]
- - 0ms Initializing [751841890|Faction Discovery]
- - 0ms Initializing [761315214|Map Reroll]
- - 0ms Initializing [704182588|Misc. MapGenerator]
- - 0ms Initializing [1117406550|My Little Planet]
- - 0ms Initializing [1540322147|[RF] Permafrost [1.0]]
- - 0ms Initializing [1571623829|[RF] Realistic Planets [1.0]]
- - 0ms Initializing [730936602|Achtung!]
- - 0ms Initializing [761421485|Allow Tool]
- - 0ms Initializing [1511926373|Animal Variety Coats]
- - 0ms Initializing [1098354593|Animals Logic]
- - 0ms Initializing [1195427067|Architect Icons]
- - 0ms Initializing [1546370684|[XND] AutoOwl]
- - 0ms Initializing [1134165362|Avoid Friendly Fire]
- - 0ms Initializing [1541460369|Better Pawn Control]
- - 0ms Initializing [935982361|Better Workbench Management]
- - 0ms Initializing [708455313|Blueprints]
- - 0ms Initializing [841092540|Bo's Milkable Animals]
- - 0ms Initializing [867467808|Camera+]
- - 0ms Initializing [1206669918|Carcinoma spreads]
- - 0ms Initializing [1542424263|Combat Readiness Check]
- - 0ms Initializing [1507384362|[KV] Consolidated Traits - 1.0]
- - 0ms Initializing [1548649032|Cyber Fauna 1.0]
- - 0ms Initializing [1541722340|[1.0] DE Surgeries]
- - 0ms Initializing [1552452572|Death Rattle]
- - 0ms Initializing [1543064443|Deconstruct Return Fix]
- - 0ms Initializing [1419872425|Deep Ore Identifier]
- - 0ms Initializing [761219125|Defensive Positions]
- - 0ms Initializing [1235181370|Designator Shapes]
- - 0ms Initializing [1628211313|Dual Wield]
- - 0ms Initializing [1446523594|Dubs Mint Menus]
- - 0ms Initializing [735106432|EdB Prepare Carefully]
- - 0ms Initializing [1539310979|[RF] Editable Backstories and Names [1.0]]
- - 2ms Initializing [1552461911|Expanded Incidents]
- - 0ms Initializing [818322128|Facial Stuff 1.0]
- - 0ms Initializing [1551109143|AlienFaces]
- - 0ms Initializing [1164753788|[WD] Fast Moisture Pumps 1.0]
- - 0ms Initializing [726244033|Fluffy Breakdowns]
- - 0ms Initializing [715759739|Follow Me]
- - 0ms Initializing [1273624741|[XND] Forbiddable Debris]
- - 0ms Initializing [1591142767|FrameRateControl]
- - 0ms Initializing [1498067736|Fuel Economy]
- - 0ms Initializing [1316142788|Gear Up And Go]
- - 0ms Initializing [797360572|Grenade Fix: Rearmed]
- - 0ms Initializing [933324235|Hardworking animals 1.0]
- - 0ms Initializing [1500981707|Harvest Everything!]
- - 0ms Initializing [1204502413|Harvest Organs Post Mortem - 4.1 [1.0]]
- - 0ms Initializing [1571625849|Harvest Sync]
- - 0ms Initializing [1461790308|[SYR] Harvest Yield Patch]
- - 0ms Initializing [949498803|Haul to Stack]
- - 0ms Initializing [1554201803|[KV] Improved Load Transport Pods]
- - 0ms Initializing [1414697588|Incident Person Stat]
- - 0ms Initializing [731287727|Infused]
- - 0ms Initializing [1503448404|In-wall coolers and vents [1.0]]
- - 0ms Initializing [1231617602|Just Ignore Me Passing]
- - 0ms Initializing [1528295006|[KV] Keep Hands & Feet - 1.0]
- - 0ms Initializing [1552455241|Less Arbitrary Surgery]
- - 0ms Initializing [1157085076|Locks]
- - 0ms Initializing [1346609640|Locks (DoorsExpanded)]
- - 0ms Initializing [1323773476|Meals On Wheels]
- - 0ms Initializing [1437612522|[XND] Memorable Auroras]
- - 0ms Initializing [735241897|MendAndRecycle]
- - 0ms Initializing [1624550064|[CP] Metal Gear Solid - CQC Takedown (1.0)]
- - 0ms Initializing [726372052|Miniaturisation]
- - 0ms Initializing [1541250497|More Harvest Designators!]
- - 0ms Initializing [881100382|More Planning]
- - 0ms Initializing [1508292711|[KV] More Trait Slots - 1.0]
- - 0ms Initializing [1414302321|Numbers]
- - 0ms Initializing [1447140290|OgreStack]
- - 0ms Initializing [1539312601|[RF] Packed Lunches [1.0]]
- - 0ms Initializing [1180719857|[KV] Path Avoid - 1.0]
- - 0ms Initializing [1539312975|[RF] Pawns are Capable! [1.0]]
- - 0ms Initializing [1279012058|Pick Up And Haul]
- - 0ms Initializing [899714534|Power Indicators]
- - 0ms Initializing [717632155|PowerSwitch]
- - 0ms Initializing [1095331978|Prepare Landing]
- - 0ms Initializing [1438488634|[XND] Proper Shotguns]
- - 0ms Initializing [1552507180|Psychology]
- - 0ms Initializing [754637870|QualityBuilder]
- - 0ms Initializing [1543069597|Quality Cooldown]
- - 0ms Initializing [1508341791|[FSF] Rain Washes Away Filth]
- - 0ms Initializing [1496097305|[XND] Ranged Stagger Rebalanced]
- - 0ms Initializing [1531882210|Realistic Darkness 1.0]
- - 0ms Initializing [848972794|Realistic Rooms]
- - 0ms Initializing [1542915888|Reasonable Components V1]
- - 0ms Initializing [1372003680|Replace Stuff]
- - 0ms Initializing [1266570759|Research Tree]
- - 0ms Initializing [858744731|Reverse Commands]
- - 0ms Initializing [1372130541|Reworked Temperature Extreme Events [1.0]]
- - 0ms Initializing [1508850027|RimHUD]
- - 0ms Initializing [1084452457|RIMMSqol]
- - 0ms Initializing [725952322|Rimsenal - Storyteller pack]
- - 0ms Initializing [726479594|Rimworld Search Agency]
- - 0ms Initializing [1561221991|[1.0] RPG Style Inventory]
- - 0ms Initializing [1204108550|RunAndGun]
- - 0ms Initializing [1552457762|Run and Hide]
- - 0ms Initializing [962732083|RuntimeGC]
- - 0ms Initializing [824601339|SafeInside]
- - 0ms Initializing [1551734715|Safe Pause]
- - 0ms Initializing [1541262111|Save Our Ship (Reloaded)]
- - 0ms Initializing [1552432811|[RF] Scenarios [1.0]]
- - 0ms Initializing [1467764609|Search and Destroy]
- - 0ms Initializing [1470065926|[SYR] Set Up Camp]
- - 0ms Initializing [1543063349|SF Grim Reality 1.0]
- - 0ms Initializing [1558034796|SF Materials Rebalanced]
- - 0ms Initializing [1356838246|Share The Load]
- - 0ms Initializing [1135542536|Shear Those Corpses Vanilla]
- - 0ms Initializing [1135543265|Shear Those Corpses ACP]
- - 0ms Initializing [1307441653|Shoo!]
- - 0ms Initializing [1399212509|ShowModDesignators]
- - 0ms Initializing [927155256|Simple sidearms]
- - 0ms Initializing [1428628928|Simple Stockpile Presets]
- - 0ms Initializing [1207390617|Skilled Stonecutting]
- - 0ms Initializing [1309994319|Smart Medicine]
- - 0ms Initializing [1319782555|Snap Out!]
- - 0ms Initializing [1542424571|Snow Clearance Sanity]
- - 0ms Initializing [1551336515|Sometimes Raids Go Wrong]
- - 0ms Initializing [737358776|Static Quality Plus 1.1]
- - 0ms Initializing [1206001612|Stronger Quality Scaling (1.0)]
- - 0ms Initializing [1133818670|[XND] Stuffed Flaks]
- - 0ms Initializing [853043503|Stuffed Floors]
- - 0ms Initializing [1421919369|Suppression]
- - 0ms Initializing [1508165821|[XND] Targeting Modes]
- - 0ms Initializing [735268789|Tech Advancing]
- - 0ms Initializing [1542393171|[1.0] Terrain Zone Selections]
- - 0ms Initializing [751049133|The Birds and the Bees]
- - 0ms Initializing [1076950211|Therapy]
- - 0ms Initializing [1180719658|[KV] Trading Spot - 1.0]
- - 0ms Initializing [1530276152|Turn It On and Off v1.3 [1.0]]
- - 0ms Initializing [1496122245|[XND] Turret Extensions]
- - 0ms Initializing [1496140597|[XND] TE Turret Expansion]
- - 0ms Initializing [1539314168|[RF] Tribal Pawn Names [1.0]]
- - 0ms Initializing [1542424705|Ugh You Got Me]
- - 0ms Initializing [1353625821|Use Bedrolls]
- - 0ms Initializing [1588681499|Vanilla Animals Overhaul Reloaded]
- - 0ms Initializing [1158568885|Various Space Ship Chunk]
- - 0ms Initializing [926698084|[XND] Visible Pants]
- - 0ms Initializing [1496115783|[XND] Watermill Tweaks]
- - 0ms Initializing [974066449|WeaponStats]
- - 0ms Initializing [1542854752|Weapon Tech]
- - 0ms Initializing [1517258570|Weapon Tech - Turret Extensions Patch]
- - 0ms Initializing [1505914869|What the hack?!]
- - 0ms Initializing [1518932357|Giddy-up! Mechanoids]
- - 0ms Initializing [1544626521|While You're Up [1.0]]
- - 0ms Initializing [1224175982|[1.0] Wild Animal Sex V4.1]
- - 0ms Initializing [1539315254|[RF] Wild Cultivation [1.0]]
- - 0ms Initializing [887716138|WM Smarter food selection]
- - 0ms Initializing [712141500|Animal Tab]
- - 0ms Initializing [715565262|Colony Manager]
- - 0ms Initializing [715565817|Medical Tab]
- - 0ms Initializing [1365242717|Pharmacist]
- - 0ms Initializing [709317151|Relations Tab]
- - 0ms Initializing [725219116|Work Tab]
- - 0ms Initializing [1440321094|Auto Seller]
- - 0ms Initializing [1322328003|Dismiss Trader]
- - 0ms Initializing [1541261044|Let's Trade! [1.0]]
- - 0ms Initializing [1541260846|The Rock Trade [1.0]]
- - 0ms Initializing [725465444|More Trade Ships]
- - 0ms Initializing [1386385125|[XND] Profitable Weapons]
- - 0ms Initializing [1511375007|Supply and Demand]
- - 0ms Initializing [753498552|Hospitality]
- - 0ms Initializing [794038005|PrisonerRansom]
- - 0ms Initializing [1454024362|More Faction Interaction]
- - 0ms Initializing [1595812861|Outfitted]
- - 0ms Initializing [1354114366|RimQuest]
- - 0ms Initializing [1521844535|RimWriter - Books, Scrolls, Tablets, and Libraries]
- - 0ms Initializing [736172213|Rimsenal - Federation]
- - 0ms Initializing [736207111|Rimsenal - Feral]
- - 0ms Initializing [764375772|Call of Cthulhu - Factions]
- - 0ms Initializing [1106719215|Mechanoids Extraordinaire]
- - 0ms Initializing [1221668621|MiningCo. Spaceship]
- - 0ms Initializing [846222458|More Mechanoids]
- - 0ms Initializing [1611200179|[RH] Faction: Militaires Sans Frontieres (1.0)]
- - 0ms Initializing [1567074403|[RH] Faction: The Ghosts (1.0)]
- - 0ms Initializing [935859141|Base Robots]
- - 0ms Initializing [939249024|Cleaning Bot]
- - 0ms Initializing [937725712|Hauling Bot]
- - 0ms Initializing [725949967|Rimsenal - Rimhair]
- - 0ms Initializing [725447220|Spoons Hair Mod]
- - 0ms Initializing [1574977528|[CP] Chicken Mitchell - Facial Stuff Version (1.0)]
- - 0ms Initializing [705924057|Xeva's Rimhair]
- - 0ms Initializing [1541721856|Alpha Animals]
- - 0ms Initializing [949283405|AnimalCollabProj]
- - 0ms Initializing [1256708879|Spidercamp's Dog Pack (1.0)]
- - 0ms Initializing [1539309348|[RF] Advanced Bridges [1.0]]
- - 0ms Initializing [760088748|[sd] advanced powergeneration]
- - 0ms Initializing [895944018|Storage Solutions]
- - 0ms Initializing [1557737647|Advanced Transport Pods]
- - 0ms Initializing [1381494864|Ancient_Structures]
- - 0ms Initializing [728381322|Apparello 2]
- - 0ms Initializing [765890823|Call of Cthulhu - Cosmic Horrors]
- - 0ms Initializing [815039373|Call of Cthulhu - Cults]
- - 0ms Initializing [824831260|Call of Cthulhu - Straitjackets]
- - 0ms Initializing [973091113|Centralized Climate Control]
- - 0ms Initializing [1588616696|[1.0] Combat Shields]
- - 0ms Initializing [1539310322|[RF] Concrete [1.0]]
- - 0ms Initializing [1385697110|[KV] Change Dresser - 1.0]
- - 0ms Initializing [832333531|Dubs Rimkit]
- - 0ms Initializing [833899765|Dubs Skylights]
- - 0ms Initializing [1233893175|[KV] Infinite Storage - 1.0]
- - 0ms Initializing [1180720427|[KV] Weapon Storage - 1.0]
- - 0ms Initializing [1550972678|[1.0] Defenses Expanded]
- - 0ms Initializing [1508778962|[SYR] Doormats]
- - 0ms Initializing [836308268|Dubs Bad Hygiene]
- - 0ms Initializing [725956940|Expanded Prosthetics and Organ Engineering]
- - 0ms Initializing [1557137419|EPOE Replacement Expansion]
- - 0ms Initializing [1082915328|Expanded Woodworking]
- - 0ms Initializing [745193823|FashionRIMsta]
- - 0ms Initializing [1539311500|[RF] Fertile Fields [1.0]]
- - 0ms Initializing [1602597619|Fertile Fields Dub's Hygiene Compat]
- - 0ms Initializing [758810805|FishIndustry]
- - 0ms Initializing [726121441|Furnace]
- - 0ms Initializing [1204258045|[XND] Genetically Engineered Plants]
- - 0ms Initializing [1113137502|Genetic Rim]
- - 0ms Initializing [1138962461|GeneticRim Cosmic Horrors Patch]
- - 0ms Initializing [775578146|Halloween Special Edition]
- - 0ms Initializing [1502703299|[KV] Hand 'n' Footwear - 1.0]
- - 0ms Initializing [777197241|Industrial Age - Objects and Furniture]
- - 0ms Initializing [784327493|Industrial Rollers]
- - 0ms Initializing [843715469|LED Lights]
- - 0ms Initializing [937331021|Mass Graves]
- - 0ms Initializing [955561873|MiningCo. Projector]
- - 0ms Initializing [717575199|Misc. Training]
- - 0ms Initializing [727710731|Misc. TurretBase, Objects]
- - 0ms Initializing [1544944259|More Furniture [1.0]]
- - 0ms Initializing [1103809207|More Linkables]
- - 0ms Initializing [1478936958|More Vanilla Turrets [1.0]]
- - 0ms Initializing [1516356320|More Vanilla Turrets - Turret Extensions Patch]
- - 0ms Initializing [1547782284|[1.0] Palisades]
- - 0ms Initializing [1541281528|Pawns Paint! Restored]
- - 0ms Initializing [812653710|Power Logic]
- - 0ms Initializing [1543177113|Quarry 1.0]
- - 0ms Initializing [1541528454|[CP] Red Horse Furniture (1.0)]
- - 0ms Initializing [761379469|Remote Tech]
- - 0ms Initializing [1127530465|Rimatomics]
- - 0ms Initializing [1558161673|RimBees]
- - 0ms Initializing [1321849735|Rimefeller]
- - 0ms Initializing [1180721235|[KV] RimFridge - 1.0]
- - 0ms Initializing [769201959|RIMkea]
- - 0ms Initializing [1465459039|Rimlaser]
- - 0ms Initializing [1541536041|[CP] Rimmu-Nation - Clothing (1.0)]
- - 0ms Initializing [1608498683|[CP] Rimmu-Nation - Weapons (1.0)]
- - 0ms Initializing [950475543|Rim of Madness - Arachnophobia]
- - 0ms Initializing [1180573408|Rim of Madness - Bones]
- - 0ms Initializing [725947920|Rimsenal]
- - 0ms Initializing [849231601|Rimsenal - Enhanced Vanilla Pack]
- - 0ms Initializing [736139206|Rimsenal - Security pack]
- - 0ms Initializing [1506715860|Rimsenal Security - Turret Extensions Patch]
- - 0ms Initializing [1553179450|Roof Support 1.0]
- - 0ms Initializing [728314182|RT Fuse]
- - 0ms Initializing [728315620|RT Solar Flare Shield]
- - 0ms Initializing [841904008|SS Bigger Batteries]
- - 0ms Initializing [832328837|SS Battery Fuse]
- - 0ms Initializing [1210535987|Shield Generators by Frontier Developments]
- - 0ms Initializing [1593287612|Shields]
- - 0ms Initializing [963265186|Tables+]
- - 0ms Initializing [731878767|Toxic Fallout Protection Suit]
- - 0ms Initializing [1185265132|VGP Vegetable Garden]
- - 0ms Initializing [1496570408|VGP Garden Canning]
- - 0ms Initializing [1188485723|VGP Garden Drinks]
- - 0ms Initializing [1185269798|VGP Garden Fabrics]
- - 0ms Initializing [1185906628|VGP Garden Gourmet]
- - 0ms Initializing [1185274792|VGP Garden Medicine]
- - 0ms Initializing [1185276569|VGP Garden Resources]
- - 0ms Initializing [1185307686|VGP Garden Tools]
- - 0ms Initializing [1192504741|VGP More Veggies]
- - 0ms Initializing [1185281838|VGP Xtra Trees and Flowers]
- - 0ms Initializing [1537104826|Cannibal Meals (VGP required)]
- - 0ms Initializing [1565686128|Cosmic Horrors VGP Meal Patch]
- - 0ms Initializing [1083959631|Expanded Woodworking for Vegetable Garden Project]
- - 0ms Initializing [1292711799|FF_VG_canned_delights]
- - 0ms Initializing [1140051385|Smokeleaf Industry]
- - 0ms Initializing [1586143254|[1.0] Apparel Organizer]
- - 0ms Initializing [1566269698|[XND] Survival Tools]
- - 0ms Initializing [964765466|Twi'lek Race]
- - 0ms Initializing [915345933|Zabrak Race]
- - 29ms Loading 1544705976 content
- - 0ms Loading Core content
- - 95ms Loading 818773962 content
- - 172ms Loading 932008009 content
- - 53ms Loading 704181221 content
- - 60ms Loading 839005762 content
- - 63ms Loading 1507748539 content
- - 33ms Loading 1216999901 content
- - 27ms Loading 1217001091 content
- - 23ms Loading 1253251478 content
- - 24ms Loading 1331961995 content
- - 40ms Loading 1316188771 content
- - 52ms Loading 1509102551 content
- - 33ms Loading 751841890 content
- - 35ms Loading 761315214 content
- - 30ms Loading 704182588 content
- - 33ms Loading 1117406550 content
- - 30ms Loading 1540322147 content
- - 34ms Loading 1571623829 content
- - 40ms Loading 730936602 content
- - 41ms Loading 761421485 content
- - 24ms Loading 1511926373 content
- - 41ms Loading 1098354593 content
- - 13ms Loading 1195427067 content
- - 43ms Loading 1546370684 content
- - 82ms Loading 1134165362 content
- - 36ms Loading 1541460369 content
- - 52ms Loading 935982361 content
- - 26ms Loading 708455313 content
- - 0ms Loading 841092540 content
- - 65ms Loading 867467808 content
- - 0ms Loading 1206669918 content
- - 51ms Loading 1542424263 content
- - 58ms Loading 1507384362 content
- - 0ms Loading 1548649032 content
- - 0ms Loading 1541722340 content
- - 17ms Loading 1552452572 content
- - 35ms Loading 1543064443 content
- - 45ms Loading 1419872425 content
- - 36ms Loading 761219125 content
- - 44ms Loading 1235181370 content
- - 20ms Loading 1628211313 content
- - 55ms Loading 1446523594 content
- - 56ms Loading 735106432 content
- - 27ms Loading 1539310979 content
- - 31ms Loading 1552461911 content
- - 66ms Loading 818322128 content
- - 35ms Loading 1551109143 content
- - 0ms Loading 1164753788 content
- - 53ms Loading 726244033 content
- - 32ms Loading 715759739 content
- - 0ms Loading 1273624741 content
- - 20ms Loading 1591142767 content
- - 44ms Loading 1498067736 content
- - 47ms Loading 1316142788 content
- - 34ms Loading 797360572 content
- - 0ms Loading 933324235 content
- - 0ms Loading 1500981707 content
- - 26ms Loading 1204502413 content
- - 25ms Loading 1571625849 content
- - 33ms Loading 1461790308 content
- - 50ms Loading 949498803 content
- - 18ms Loading 1554201803 content
- - 40ms Loading 1414697588 content
- - 55ms Loading 731287727 content
- - 1ms Loading 1503448404 content
- - 39ms Loading 1231617602 content
- - 43ms Loading 1528295006 content
- - 27ms Loading 1552455241 content
- - 50ms Loading 1157085076 content
- - 37ms Loading 1346609640 content
- - 33ms Loading 1323773476 content
- - 29ms Loading 1437612522 content
- - 35ms Loading 735241897 content
- - 17ms Loading 1624550064 content
- - 0ms Loading 726372052 content
- - 25ms Loading 1541250497 content
- - 37ms Loading 881100382 content
- - 48ms Loading 1508292711 content
- - 46ms Loading 1414302321 content
- - 29ms Loading 1447140290 content
- - 0ms Loading 1539312601 content
- - 48ms Loading 1180719857 content
- - 43ms Loading 1539312975 content
- - 44ms Loading 1279012058 content
- - 37ms Loading 899714534 content
- - 42ms Loading 717632155 content
- - 40ms Loading 1095331978 content
- - 36ms Loading 1438488634 content
- - 45ms Loading 1552507180 content
- - 37ms Loading 754637870 content
- - 0ms Loading 1543069597 content
- - 0ms Loading 1508341791 content
- - 41ms Loading 1496097305 content
- - 0ms Loading 1531882210 content
- - 0ms Loading 848972794 content
- - 5ms Loading 1542915888 content
- - 47ms Loading 1372003680 content
- - 41ms Loading 1266570759 content
- - 41ms Loading 858744731 content
- - 30ms Loading 1372130541 content
- - 50ms Loading 1508850027 content
- - 66ms Loading 1084452457 content
- - 0ms Loading 725952322 content
- - 44ms Loading 726479594 content
- - 30ms Loading 1561221991 content
- - 1ms Loading 1204108550 content
- - 18ms Loading 1552457762 content
- - 31ms Loading 962732083 content
- - 19ms Loading 824601339 content
- - 32ms Loading 1551734715 content
- - 47ms Loading 1541262111 content
- - 36ms Loading 1552432811 content
- - 62ms Loading 1467764609 content
- - 34ms Loading 1470065926 content
- - 0ms Loading 1543063349 content
- - 14ms Loading 1558034796 content
- - 53ms Loading 1356838246 content
- - 0ms Loading 1135542536 content
- - 0ms Loading 1135543265 content
- - 48ms Loading 1307441653 content
- - 22ms Loading 1399212509 content
- - 33ms Loading 927155256 content
- - 35ms Loading 1428628928 content
- - 0ms Loading 1207390617 content
- - 43ms Loading 1309994319 content
- - 38ms Loading 1319782555 content
- - 32ms Loading 1542424571 content
- - 23ms Loading 1551336515 content
- - 33ms Loading 737358776 content
- - 0ms Loading 1206001612 content
- - 0ms Loading 1133818670 content
- - 46ms Loading 853043503 content
- - 25ms Loading 1421919369 content
- - 46ms Loading 1508165821 content
- - 47ms Loading 735268789 content
- - 37ms Loading 1542393171 content
- - 49ms Loading 751049133 content
- - 45ms Loading 1076950211 content
- - 38ms Loading 1180719658 content
- - 31ms Loading 1530276152 content
- - 52ms Loading 1496122245 content
- - 0ms Loading 1496140597 content
- - 35ms Loading 1539314168 content
- - 31ms Loading 1542424705 content
- - 48ms Loading 1353625821 content
- - 0ms Loading 1588681499 content
- - 52ms Loading 1158568885 content
- - 42ms Loading 926698084 content
- - 40ms Loading 1496115783 content
- - 33ms Loading 974066449 content
- - 0ms Loading 1542854752 content
- - 0ms Loading 1517258570 content
- - 61ms Loading 1505914869 content
- - 32ms Loading 1518932357 content
- - 28ms Loading 1544626521 content
- - 27ms Loading 1224175982 content
- - 27ms Loading 1539315254 content
- - 57ms Loading 887716138 content
- - 48ms Loading 712141500 content
- - 118ms Loading 715565262 content
- - 69ms Loading 715565817 content
- - 43ms Loading 1365242717 content
- - 31ms Loading 709317151 content
- - 65ms Loading 725219116 content
- - 144ms Loading 1440321094 content
- - 85ms Loading 1322328003 content
- - 0ms Loading 1541261044 content
- - 0ms Loading 1541260846 content
- - 0ms Loading 725465444 content
- - 97ms Loading 1386385125 content
- - 103ms Loading 1511375007 content
- - 41ms Loading 753498552 content
- - 99ms Loading 794038005 content
- - 71ms Loading 1454024362 content
- - 77ms Loading 1595812861 content
- - 85ms Loading 1354114366 content
- - 85ms Loading 1521844535 content
- - 0ms Loading 736172213 content
- - 0ms Loading 736207111 content
- - 150ms Loading 764375772 content
- - 0ms Loading 1106719215 content
- - 77ms Loading 1221668621 content
- - 68ms Loading 846222458 content
- - 122ms Loading 1611200179 content
- - 41ms Loading 1567074403 content
- - 34ms Loading 935859141 content
- - 0ms Loading 939249024 content
- - 0ms Loading 937725712 content
- - 0ms Loading 725949967 content
- - 0ms Loading 725447220 content
- - 0ms Loading 1574977528 content
- - 0ms Loading 705924057 content
- - 69ms Loading 1541721856 content
- - 37ms Loading 949283405 content
- - 0ms Loading 1256708879 content
- - 31ms Loading 1539309348 content
- - 18ms Loading 760088748 content
- - 0ms Loading 895944018 content
- - 0ms Loading 1557737647 content
- - 0ms Loading 1381494864 content
- - 0ms Loading 728381322 content
- - 44ms Loading 765890823 content
- - 64ms Loading 815039373 content
- - 56ms Loading 824831260 content
- - 38ms Loading 973091113 content
- - 27ms Loading 1588616696 content
- - 40ms Loading 1539310322 content
- - 46ms Loading 1385697110 content
- - 35ms Loading 832333531 content
- - 24ms Loading 833899765 content
- - 51ms Loading 1233893175 content
- - 58ms Loading 1180720427 content
- - 0ms Loading 1550972678 content
- - 15ms Loading 1508778962 content
- - 56ms Loading 836308268 content
- - 0ms Loading 725956940 content
- - 0ms Loading 1557137419 content
- - 37ms Loading 1082915328 content
- - 0ms Loading 745193823 content
- - 44ms Loading 1539311500 content
- - 0ms Loading 1602597619 content
- - 29ms Loading 758810805 content
- - 0ms Loading 726121441 content
- - 0ms Loading 1204258045 content
- - 107ms Loading 1113137502 content
- - 0ms Loading 1138962461 content
- - 0ms Loading 775578146 content
- - 0ms Loading 1502703299 content
- - 53ms Loading 777197241 content
- - 34ms Loading 784327493 content
- - 0ms Loading 843715469 content
- - 36ms Loading 937331021 content
- - 28ms Loading 955561873 content
- - 32ms Loading 717575199 content
- - 55ms Loading 727710731 content
- - 0ms Loading 1544944259 content
- - 0ms Loading 1103809207 content
- - 0ms Loading 1478936958 content
- - 0ms Loading 1516356320 content
- - 0ms Loading 1547782284 content
- - 0ms Loading 1541281528 content
- - 55ms Loading 812653710 content
- - 26ms Loading 1543177113 content
- - 60ms Loading 1541528454 content
- - 59ms Loading 761379469 content
- - 81ms Loading 1127530465 content
- - 69ms Loading 1558161673 content
- - 54ms Loading 1321849735 content
- - 96ms Loading 1180721235 content
- - 0ms Loading 769201959 content
- - 20ms Loading 1465459039 content
- - 85ms Loading 1541536041 content
- - 47ms Loading 1608498683 content
- - 59ms Loading 950475543 content
- - 57ms Loading 1180573408 content
- - 0ms Loading 725947920 content
- - 0ms Loading 849231601 content
- - 0ms Loading 736139206 content
- - 0ms Loading 1506715860 content
- - 0ms Loading 1553179450 content
- - 59ms Loading 728314182 content
- - 59ms Loading 728315620 content
- - 0ms Loading 841904008 content
- - 0ms Loading 832328837 content
- - 59ms Loading 1210535987 content
- - 58ms Loading 1593287612 content
- - 0ms Loading 963265186 content
- - 0ms Loading 731878767 content
- - 39ms Loading 1185265132 content
- - 47ms Loading 1496570408 content
- - 37ms Loading 1188485723 content
- - 35ms Loading 1185269798 content
- - 41ms Loading 1185906628 content
- - 36ms Loading 1185274792 content
- - 0ms Loading 1185276569 content
- - 24ms Loading 1185307686 content
- - 33ms Loading 1192504741 content
- - 0ms Loading 1185281838 content
- - 0ms Loading 1537104826 content
- - 0ms Loading 1565686128 content
- - 34ms Loading 1083959631 content
- - 0ms Loading 1292711799 content
- - 76ms Loading 1140051385 content
- - 0ms Loading 1586143254 content
- - 42ms Loading 1566269698 content
- - 0ms Loading 964765466 content
- - 0ms Loading 915345933 content
- - 1ms Loading 1544705976
- - 977ms Loading Core
- - 43ms Loading 818773962
- - 88ms Loading 932008009
- - 66ms Loading 704181221
- - 42ms Loading 839005762
- - 0ms Loading 1507748539
- - 42ms Loading 1216999901
- - 38ms Loading 1217001091
- - 0ms Loading 1253251478
- - 29ms Loading 1331961995
- - 51ms Loading 1316188771
- - 20ms Loading 1509102551
- - 0ms Loading 751841890
- - 27ms Loading 761315214
- - 69ms Loading 704182588
- - 0ms Loading 1117406550
- - 29ms Loading 1540322147
- - 28ms Loading 1571623829
- - 0ms Loading 730936602
- - 61ms Loading 761421485
- - 0ms Loading 1511926373
- - 0ms Loading 1098354593
- - 14ms Loading 1195427067
- - 0ms Loading 1546370684
- - 21ms Loading 1134165362
- - 22ms Loading 1541460369
- - 29ms Loading 935982361
- - 26ms Loading 708455313
- - 0ms Loading 841092540
- - 0ms Loading 867467808
- - 28ms Loading 1206669918
- - 0ms Loading 1542424263
- - 20ms Loading 1507384362
- - 73ms Loading 1548649032
- - 48ms Loading 1541722340
- - 20ms Loading 1552452572
- - 0ms Loading 1543064443
- - 0ms Loading 1419872425
- - 41ms Loading 761219125
- - 43ms Loading 1235181370
- - 41ms Loading 1628211313
- - 25ms Loading 1446523594
- - 52ms Loading 735106432
- - 72ms Loading 1539310979
- - 25ms Loading 1552461911
- - 176ms Loading 818322128
- - 0ms Loading 1551109143
- - 15ms Loading 1164753788
- - 40ms Loading 726244033
- - 32ms Loading 715759739
- - 0ms Loading 1273624741
- - 0ms Loading 1591142767
- - 28ms Loading 1498067736
- - 24ms Loading 1316142788
- - 0ms Loading 797360572
- - 0ms Loading 933324235
- - 29ms Loading 1500981707
- - 53ms Loading 1204502413
- - 0ms Loading 1571625849
- - 0ms Loading 1461790308
- - 0ms Loading 949498803
- - 24ms Loading 1554201803
- - 0ms Loading 1414697588
- - 73ms Loading 731287727
- - 0ms Loading 1503448404
- - 0ms Loading 1231617602
- - 0ms Loading 1528295006
- - 0ms Loading 1552455241
- - 38ms Loading 1157085076
- - 39ms Loading 1346609640
- - 0ms Loading 1323773476
- - 22ms Loading 1437612522
- - 90ms Loading 735241897
- - 14ms Loading 1624550064
- - 0ms Loading 726372052
- - 0ms Loading 1541250497
- - 36ms Loading 881100382
- - 0ms Loading 1508292711
- - 35ms Loading 1414302321
- - 0ms Loading 1447140290
- - 30ms Loading 1539312601
- - 29ms Loading 1180719857
- - 29ms Loading 1539312975
- - 29ms Loading 1279012058
- - 0ms Loading 899714534
- - 45ms Loading 717632155
- - 38ms Loading 1095331978
- - 20ms Loading 1438488634
- - 84ms Loading 1552507180
- - 45ms Loading 754637870
- - 0ms Loading 1543069597
- - 0ms Loading 1508341791
- - 0ms Loading 1496097305
- - 33ms Loading 1531882210
- - 44ms Loading 848972794
- - 42ms Loading 1542915888
- - 29ms Loading 1372003680
- - 26ms Loading 1266570759
- - 0ms Loading 858744731
- - 27ms Loading 1372130541
- - 25ms Loading 1508850027
- - 134ms Loading 1084452457
- - 38ms Loading 725952322
- - 0ms Loading 726479594
- - 0ms Loading 1561221991
- - 30ms Loading 1204108550
- - 29ms Loading 1552457762
- - 34ms Loading 962732083
- - 35ms Loading 824601339
- - 0ms Loading 1551734715
- - 93ms Loading 1541262111
- - 40ms Loading 1552432811
- - 24ms Loading 1467764609
- - 22ms Loading 1470065926
- - 0ms Loading 1543063349
- - 0ms Loading 1558034796
- - 0ms Loading 1356838246
- - 43ms Loading 1135542536
- - 40ms Loading 1135543265
- - 67ms Loading 1307441653
- - 0ms Loading 1399212509
- - 41ms Loading 927155256
- - 0ms Loading 1428628928
- - 30ms Loading 1207390617
- - 43ms Loading 1309994319
- - 27ms Loading 1319782555
- - 0ms Loading 1542424571
- - 23ms Loading 1551336515
- - 29ms Loading 737358776
- - 38ms Loading 1206001612
- - 0ms Loading 1133818670
- - 40ms Loading 853043503
- - 29ms Loading 1421919369
- - 34ms Loading 1508165821
- - 31ms Loading 735268789
- - 0ms Loading 1542393171
- - 60ms Loading 751049133
- - 52ms Loading 1076950211
- - 33ms Loading 1180719658
- - 0ms Loading 1530276152
- - 39ms Loading 1496122245
- - 34ms Loading 1496140597
- - 0ms Loading 1539314168
- - 0ms Loading 1542424705
- - 18ms Loading 1353625821
- - 141ms Loading 1588681499
- - 33ms Loading 1158568885
- - 0ms Loading 926698084
- - 2ms Loading 1496115783
- - 29ms Loading 974066449
- - 60ms Loading 1542854752
- - 22ms Loading 1517258570
- - 163ms Loading 1505914869
- - 37ms Loading 1518932357
- - 0ms Loading 1544626521
- - 30ms Loading 1224175982
- - 72ms Loading 1539315254
- - 64ms Loading 887716138
- - 40ms Loading 712141500
- - 60ms Loading 715565262
- - 27ms Loading 715565817
- - 22ms Loading 1365242717
- - 35ms Loading 709317151
- - 35ms Loading 725219116
- - 33ms Loading 1440321094
- - 24ms Loading 1322328003
- - 50ms Loading 1541261044
- - 57ms Loading 1541260846
- - 0ms Loading 725465444
- - 28ms Loading 1386385125
- - 0ms Loading 1511375007
- - 102ms Loading 753498552
- - 0ms Loading 794038005
- - 127ms Loading 1454024362
- - 0ms Loading 1595812861
- - 33ms Loading 1354114366
- - 118ms Loading 1521844535
- - 96ms Loading 736172213
- - 99ms Loading 736207111
- - 113ms Loading 764375772
- - 77ms Loading 1106719215
- - 150ms Loading 1221668621
- - 78ms Loading 846222458
- - 335ms Loading 1611200179
- - 178ms Loading 1567074403
- - 116ms Loading 935859141
- - 16ms Loading 939249024
- - 40ms Loading 937725712
- - 37ms Loading 725949967
- - 34ms Loading 725447220
- - 23ms Loading 1574977528
- - 20ms Loading 705924057
- - 359ms Loading 1541721856
- - 192ms Loading 949283405
- - 47ms Loading 1256708879
- - 50ms Loading 1539309348
- - 43ms Loading 760088748
- - 35ms Loading 895944018
- - 1ms Loading 1557737647
- - 30ms Loading 1381494864
- - 75ms Loading 728381322
- - 178ms Loading 765890823
- - 208ms Loading 815039373
- - 41ms Loading 824831260
- - 35ms Loading 973091113
- - 63ms Loading 1588616696
- - 52ms Loading 1539310322
- - 50ms Loading 1385697110
- - 22ms Loading 832333531
- - 41ms Loading 833899765
- - 58ms Loading 1233893175
- - 44ms Loading 1180720427
- - 45ms Loading 1550972678
- - 14ms Loading 1508778962
- - 232ms Loading 836308268
- - 76ms Loading 725956940
- - 45ms Loading 1557137419
- - 83ms Loading 1082915328
- - 49ms Loading 745193823
- - 60ms Loading 1539311500
- - 40ms Loading 1602597619
- - 166ms Loading 758810805
- - 35ms Loading 726121441
- - 42ms Loading 1204258045
- - 444ms Loading 1113137502
- - 83ms Loading 1138962461
- - 52ms Loading 775578146
- - 19ms Loading 1502703299
- - 72ms Loading 777197241
- - 37ms Loading 784327493
- - 56ms Loading 843715469
- - 23ms Loading 937331021
- - 94ms Loading 955561873
- - 80ms Loading 717575199
- - 166ms Loading 727710731
- - 28ms Loading 1544944259
- - 60ms Loading 1103809207
- - 44ms Loading 1478936958
- - 28ms Loading 1516356320
- - 20ms Loading 1547782284
- - 49ms Loading 1541281528
- - 69ms Loading 812653710
- - 78ms Loading 1543177113
- - 260ms Loading 1541528454
- - 147ms Loading 761379469
- - 195ms Loading 1127530465
- - 113ms Loading 1558161673
- - 78ms Loading 1321849735
- - 49ms Loading 1180721235
- - 147ms Loading 769201959
- - 78ms Loading 1465459039
- - 151ms Loading 1541536041
- - 256ms Loading 1608498683
- - 71ms Loading 950475543
- - 68ms Loading 1180573408
- - 102ms Loading 725947920
- - 75ms Loading 849231601
- - 62ms Loading 736139206
- - 22ms Loading 1506715860
- - 38ms Loading 1553179450
- - 36ms Loading 728314182
- - 43ms Loading 728315620
- - 28ms Loading 841904008
- - 10ms Loading 832328837
- - 108ms Loading 1210535987
- - 51ms Loading 1593287612
- - 32ms Loading 963265186
- - 45ms Loading 731878767
- - 175ms Loading 1185265132
- - 86ms Loading 1496570408
- - 152ms Loading 1188485723
- - 72ms Loading 1185269798
- - 151ms Loading 1185906628
- - 82ms Loading 1185274792
- - 75ms Loading 1185276569
- - 105ms Loading 1185307686
- - 40ms Loading 1192504741
- - 17ms Loading 1185281838
- - 36ms Loading 1537104826
- - 30ms Loading 1565686128
- - 40ms Loading 1083959631
- - 24ms Loading 1292711799
- - 299ms Loading 1140051385
- - 20ms Loading 1586143254
- - 138ms Loading 1566269698
- - 79ms Loading 964765466
- - 62ms Loading 915345933
- - 48562ms Applying Patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 77ms Loading all patches
- - - 0ms Loading all patches
- - - 35ms Loading all patches
- - - 37ms Loading all patches
- - - 13ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 57ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 113ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 123ms Loading all patches
- - - 29ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 37ms Loading all patches
- - - 19ms Loading all patches
- - - 0ms Loading all patches
- - - 80ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 187ms Loading all patches
- - - 71ms Loading all patches
- - - 45ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 24ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 241ms Loading all patches
- - - 174ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 41ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 20ms Loading all patches
- - - 0ms Loading all patches
- - - 20ms Loading all patches
- - - 122ms Loading all patches
- - - 47ms Loading all patches
- - - 0ms Loading all patches
- - - 22ms Loading all patches
- - - 0ms Loading all patches
- - - 29ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 31ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 33ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 173ms Loading all patches
- - - 34ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 27ms Loading all patches
- - - 32ms Loading all patches
- - - 0ms Loading all patches
- - - 40ms Loading all patches
- - - 42ms Loading all patches
- - - 169ms Loading all patches
- - - 552ms Loading all patches
- - - 0ms Loading all patches
- - - 29ms Loading all patches
- - - 15ms Loading all patches
- - - 82ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 10ms Loading all patches
- - - 38ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 59ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 20ms Loading all patches
- - - 25ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 55ms Loading all patches
- - - 45ms Loading all patches
- - - 21ms Loading all patches
- - - 0ms Loading all patches
- - - 1395ms Loading all patches
- - - 67ms Loading all patches
- - - 0ms Loading all patches
- - - 47ms Loading all patches
- - - 58ms Loading all patches
- - - 27ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 36ms Loading all patches
- - - 38ms Loading all patches
- - - 22ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 68ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 56ms Loading all patches
- - - 0ms Loading all patches
- - - 37ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 95ms Loading all patches
- - - 42ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 42ms Loading all patches
- - - 283ms Loading all patches
- - - 0ms Loading all patches
- - - 50ms Loading all patches
- - - 16ms Loading all patches
- - - 0ms Loading all patches
- - - 41ms Loading all patches
- - - 40ms Loading all patches
- - - 62ms Loading all patches
- - - 42ms Loading all patches
- - - 0ms Loading all patches
- - - 47ms Loading all patches
- - - 114ms Loading all patches
- - - 18ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 123ms Loading all patches
- - - 233ms Loading all patches
- - - 47ms Loading all patches
- - - 39ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 42ms Loading all patches
- - - 0ms Loading all patches
- - - 30ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 56ms Loading all patches
- - - 0ms Loading all patches
- - - 47ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 175ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 118ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 59ms Loading all patches
- - - 81ms Loading all patches
- - - 104ms Loading all patches
- - - 55ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 318ms Loading all patches
- - - 135ms Loading all patches
- - - 44ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 55ms Loading all patches
- - - 6ms Loading all patches
- - - 50ms Loading all patches
- - - 0ms Loading all patches
- - - 36ms Loading all patches
- - - 670ms Loading all patches
- - - 0ms Loading all patches
- - - 513ms Loading all patches
- - - 22ms Loading all patches
- - - 55ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 424ms Loading all patches
- - - 139ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 57ms Loading all patches
- - - 28ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 62ms Loading all patches
- - - 537ms Loading all patches
- - - 0ms Loading all patches
- - - 69ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 27ms Loading all patches
- - - 45ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 42ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 88ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 139ms Loading all patches
- - - 109ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 70ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- - - 57ms Loading all patches
- - - 245ms Loading all patches
- - - 66ms Loading all patches
- - - 148ms Loading all patches
- - - 102ms Loading all patches
- - - 256ms Loading all patches
- - - 56ms Loading all patches
- - - 0ms Loading all patches
- - - 120ms Loading all patches
- - - 69ms Loading all patches
- - - 0ms Loading all patches
- - - 23ms Loading all patches
- - - 8ms Loading all patches
- - - 173ms Loading all patches
- - - 0ms Loading all patches
- - - 656ms Loading all patches
- - - 2849ms Loading all patches
- - - 578ms Loading all patches
- - - 0ms Loading all patches
- - - 0ms Loading all patches
- 3440ms Load language metadata.
- 11431ms Loading language data: English
- 725ms Copy all Defs from mods to global databases.
- 2747ms Resolve cross-references between non-implied Defs.
- 178ms Rebind defs (early).
- 214ms Inject selected language data into game data (early pass).
- 282ms Generate implied Defs (pre-resolve).
- 1ms Resolve cross-references between Defs made by the implied defs.
- 158ms Rebind DefOfs (final).
- 2145ms Other def binding, resetting and global operations (pre-resolve).
- 613157ms Resolve references.
- 7ms Generate implied Defs (post-resolve).
- 2ms Other def binding, resetting and global operations (post-resolve).
- 622ms Error check all defs.
- 27ms Load keyboard preferences.
- 231ms Short hash giving.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
39ms Loading assets of type UnityEngine.Texture2D for mod 818773962
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
41ms Loading assets of type UnityEngine.Texture2D for mod 932008009
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
48ms Loading assets of type UnityEngine.Texture2D for mod 704181221
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
40ms Loading assets of type UnityEngine.Texture2D for mod 1507748539
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
118ms Loading assets of type UnityEngine.Texture2D for mod 1216999901
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
61ms Loading assets of type UnityEngine.Texture2D for mod 1253251478
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
62ms Loading assets of type UnityEngine.Texture2D for mod 1331961995
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
129ms Loading assets of type UnityEngine.AudioClip for mod 1316188771
- 23ms Loading file Misc/BlastDoor/BlastDoorClose
- 31ms Loading file Misc/BlastDoor/BlastDoorOpen
- 20ms Loading file Misc/Curtain/CurtainClose
- 5ms Loading file Misc/Curtain/CurtainOpen
- 11ms Loading file Misc/JailDoor/JailDoorClose
- 15ms Loading file Misc/JailDoor/JailDoorOpen
- 6ms Loading file Null/silence
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
84ms Loading assets of type UnityEngine.Texture2D for mod 1316188771
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
34ms Loading assets of type UnityEngine.AudioClip for mod 761315214
- 13ms Loading file dice_roll
- 10ms Loading file steam_vent
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
28ms Loading assets of type UnityEngine.Texture2D for mod 761315214
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
292ms Loading assets of type UnityEngine.Texture2D for mod 1540322147
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
215ms Loading assets of type UnityEngine.Texture2D for mod 1571623829
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
40ms Loading assets of type UnityEngine.Texture2D for mod 730936602
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
22ms Loading assets of type UnityEngine.AudioClip for mod 761421485
- 14ms Loading file metalGlint
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
55ms Loading assets of type UnityEngine.Texture2D for mod 761421485
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
198ms Loading assets of type UnityEngine.Texture2D for mod 1511926373
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
68ms Loading assets of type UnityEngine.Texture2D for mod 1195427067
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
8ms Loading assets of type UnityEngine.Texture2D for mod 1134165362
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
31ms Loading assets of type UnityEngine.Texture2D for mod 1541460369
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
82ms Loading assets of type UnityEngine.Texture2D for mod 935982361
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
36ms Loading assets of type UnityEngine.Texture2D for mod 708455313
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
33ms Loading assets of type UnityEngine.Texture2D for mod 867467808
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
53ms Loading assets of type UnityEngine.Texture2D for mod 1548649032
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
48ms Loading assets of type UnityEngine.Texture2D for mod 761219125
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
180ms Loading assets of type UnityEngine.Texture2D for mod 1235181370
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
34ms Loading assets of type UnityEngine.Texture2D for mod 1628211313
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
76ms Loading assets of type UnityEngine.Texture2D for mod 1446523594
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
71ms Loading assets of type UnityEngine.Texture2D for mod 735106432
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
2015ms Loading assets of type UnityEngine.Texture2D for mod 818322128
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
27ms Loading assets of type UnityEngine.Texture2D for mod 1164753788
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
25ms Loading assets of type UnityEngine.Texture2D for mod 1316142788
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
19ms Loading assets of type UnityEngine.Texture2D for mod 1571625849
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
80ms Loading assets of type UnityEngine.AudioClip for mod 731287727
- 26ms Loading file SFX/Infused/103628__benboncan__large-anvil-steel-hammer
- 12ms Loading file SFX/Infused/103629__benboncan__large-anvil-steel-hammer-1
- 14ms Loading file SFX/Infused/103630__benboncan__large-anvil-steel-hammer-2
- 2ms Loading file SFX/Infused/103631__benboncan__large-anvil-steel-hammer-3
- 11ms Loading file SFX/Infused/103632__benboncan__large-anvil-steel-hammer-4
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
48ms Loading assets of type UnityEngine.Texture2D for mod 1157085076
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
20ms Loading assets of type UnityEngine.Texture2D for mod 1346609640
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
93ms Loading assets of type UnityEngine.Texture2D for mod 735241897
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
107ms Loading assets of type UnityEngine.AudioClip for mod 1624550064
- 22ms Loading file Ability/CQCTakedown
- 11ms Loading file Ability/CQCTakedownFemale
- 11ms Loading file Ability/CQCTakedownMale
- 14ms Loading file Ability/Old_CQC_Sounds/CQCTakedown
- 21ms Loading file Ability/Old_CQC_Sounds/CQCTakedownFemale
- 11ms Loading file Ability/Old_CQC_Sounds/CQCTakedownMale
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
43ms Loading assets of type UnityEngine.Texture2D for mod 1624550064
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
58ms Loading assets of type UnityEngine.Texture2D for mod 881100382
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
36ms Loading assets of type UnityEngine.Texture2D for mod 1539312601
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
36ms Loading assets of type UnityEngine.Texture2D for mod 1180719857
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
25ms Loading assets of type UnityEngine.Texture2D for mod 1539312975
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
60ms Loading assets of type UnityEngine.Texture2D for mod 717632155
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
40ms Loading assets of type UnityEngine.Texture2D for mod 1095331978
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
42ms Loading assets of type UnityEngine.Texture2D for mod 1552507180
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
47ms Loading assets of type UnityEngine.Texture2D for mod 754637870
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
50ms Loading assets of type UnityEngine.Texture2D for mod 1372003680
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
86ms Loading assets of type UnityEngine.Texture2D for mod 1266570759
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
22ms Loading assets of type UnityEngine.Texture2D for mod 1508850027
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
153ms Loading assets of type UnityEngine.Texture2D for mod 1084452457
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
223ms Loading assets of type UnityEngine.Texture2D for mod 725952322
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
38ms Loading assets of type UnityEngine.Texture2D for mod 1561221991
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
40ms Loading assets of type UnityEngine.Texture2D for mod 1204108550
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
24ms Loading assets of type UnityEngine.Texture2D for mod 1552457762
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
117ms Loading assets of type UnityEngine.Texture2D for mod 1541262111
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
38ms Loading assets of type UnityEngine.Texture2D for mod 1467764609
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
27ms Loading assets of type UnityEngine.Texture2D for mod 1470065926
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
35ms Loading assets of type UnityEngine.Texture2D for mod 1135542536
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
30ms Loading assets of type UnityEngine.Texture2D for mod 1135543265
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
21ms Loading assets of type UnityEngine.Texture2D for mod 1307441653
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
131ms Loading assets of type UnityEngine.Texture2D for mod 927155256
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
26ms Loading assets of type UnityEngine.Texture2D for mod 1428628928
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
20ms Loading assets of type UnityEngine.Texture2D for mod 1309994319
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
26ms Loading assets of type UnityEngine.Texture2D for mod 1551336515
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
1857ms Loading assets of type UnityEngine.Texture2D for mod 853043503
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
94ms Loading assets of type UnityEngine.Texture2D for mod 1508165821
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
41ms Loading assets of type UnityEngine.Texture2D for mod 1542393171
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
87ms Loading assets of type UnityEngine.Texture2D for mod 1076950211
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
20ms Loading assets of type UnityEngine.Texture2D for mod 1496122245
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
94ms Loading assets of type UnityEngine.Texture2D for mod 1496140597
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
31ms Loading assets of type UnityEngine.Texture2D for mod 1353625821
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
241ms Loading assets of type UnityEngine.Texture2D for mod 1588681499
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
150ms Loading assets of type UnityEngine.Texture2D for mod 1158568885
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
71ms Loading assets of type UnityEngine.Texture2D for mod 926698084
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
53ms Loading assets of type UnityEngine.Texture2D for mod 974066449
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
117ms Loading assets of type UnityEngine.Texture2D for mod 1542854752
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
370ms Loading assets of type UnityEngine.Texture2D for mod 1505914869
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
25ms Loading assets of type UnityEngine.Texture2D for mod 1518932357
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
28ms Loading assets of type UnityEngine.Texture2D for mod 1539315254
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
50ms Loading assets of type UnityEngine.Texture2D for mod 887716138
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
54ms Loading assets of type UnityEngine.Texture2D for mod 712141500
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
138ms Loading assets of type UnityEngine.Texture2D for mod 715565262
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
49ms Loading assets of type UnityEngine.Texture2D for mod 715565817
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
39ms Loading assets of type UnityEngine.Texture2D for mod 1365242717
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
52ms Loading assets of type UnityEngine.Texture2D for mod 709317151
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
281ms Loading assets of type UnityEngine.Texture2D for mod 725219116
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
73ms Loading assets of type UnityEngine.Texture2D for mod 1440321094
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
65ms Loading assets of type UnityEngine.Texture2D for mod 1541260846
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
40ms Loading assets of type UnityEngine.Texture2D for mod 753498552
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
48ms Loading assets of type UnityEngine.Texture2D for mod 1454024362
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
18ms Loading assets of type UnityEngine.Texture2D for mod 1595812861
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
25ms Loading assets of type UnityEngine.Texture2D for mod 1354114366
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
97ms Loading assets of type UnityEngine.AudioClip for mod 1521844535
- 61ms Loading file Typewriter/ManualTyping
- 21ms Loading file WritersTable/PencilWriting
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
273ms Loading assets of type UnityEngine.Texture2D for mod 1521844535
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
187ms Loading assets of type UnityEngine.AudioClip for mod 736172213
- 22ms Loading file Things/Beep
- 9ms Loading file Things/BionAngry
- 12ms Loading file Things/BionCall
- 3ms Loading file Things/BionDeath
- 2ms Loading file Things/BionHitBuilding
- 2ms Loading file Things/BionHitPawn
- 3ms Loading file Things/BionMiss
- 2ms Loading file Things/BionWound
- 5ms Loading file Things/CP
- 7ms Loading file Things/CPR
- 5ms Loading file Things/CR
- 12ms Loading file Things/HC
- 9ms Loading file Things/IRAD
- 3ms Loading file Things/Ionic
- 12ms Loading file Things/IonicBlast
- 5ms Loading file Things/MechAngry
- 3ms Loading file Things/MechCall
- 2ms Loading file Things/MechDeath
- 2ms Loading file Things/MechHitBuilding
- 2ms Loading file Things/MechHitPawn
- 5ms Loading file Things/MechMiss
- 10ms Loading file Things/MechRocket
- 3ms Loading file Things/MechWound
- 2ms Loading file Things/OpticBurst
- 2ms Loading file Things/Plasma
- 16ms Loading file Things/PlasmaEXP
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
217ms Loading assets of type UnityEngine.Texture2D for mod 736172213
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
198ms Loading assets of type UnityEngine.AudioClip for mod 736207111
- 33ms Loading file Things/Crucifier
- 10ms Loading file Things/Deathdealer
- 12ms Loading file Things/Gnasher
- 25ms Loading file Things/MADness
- 15ms Loading file Things/Napalm
- 11ms Loading file Things/ScatterStick
- 5ms Loading file Things/Scorcher
- 9ms Loading file Things/Scraptooth
- 2ms Loading file Things/Shriker
- 33ms Loading file Things/Siren
- 13ms Loading file Things/Spore
- 12ms Loading file Things/Thrasher
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
482ms Loading assets of type UnityEngine.Texture2D for mod 736207111
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
101ms Loading assets of type UnityEngine.AudioClip for mod 764375772
- 21ms Loading file Flare1
- 18ms Loading file Harpoon1
- 10ms Loading file Revolver1
- 33ms Loading file Revolver2
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
594ms Loading assets of type UnityEngine.Texture2D for mod 764375772
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
105ms Loading assets of type UnityEngine.Texture2D for mod 1106719215
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
81ms Loading assets of type UnityEngine.AudioClip for mod 1221668621
- 32ms Loading file AirStrike/AirStrike
- 16ms Loading file Spaceship/Landing
- 11ms Loading file Spaceship/TakingOff
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
445ms Loading assets of type UnityEngine.Texture2D for mod 1221668621
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
97ms Loading assets of type UnityEngine.Texture2D for mod 846222458
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
1421ms Loading assets of type UnityEngine.AudioClip for mod 1611200179
- 18ms Loading file Airstrike/InteractUSMCEMPStrike
- 9ms Loading file Airstrike/RNAir_Aiming
- 17ms Loading file Airstrike/RNInteractAirstrike
- 25ms Loading file Airstrike/RNInteractSpetsnazAirstrike
- 16ms Loading file Airstrike/RNOdinInteract
- 10ms Loading file Airstrike/RNShotAirstrike
- 7ms Loading file Airstrike/RNShotEnemyAirstrike
- 22ms Loading file Airstrike/RNShotOdin
- 8ms Loading file Airstrike/RN_IMSActivated
- 11ms Loading file Airstrike/RN_IMSReady
- 59ms Loading file Airstrike/SerbiaStronkLoop
- 4ms Loading file Airstrike/SerbiaStronkPickup
- 21ms Loading file Airstrike/ShotUSMCEMPStrike
- 9ms Loading file CQB/RN870Shot
- 6ms Loading file CQB/RNP90Shot
- 6ms Loading file CQB/RNSMGSuppressed
- 9ms Loading file CQB/RNShortShot
- 6ms Loading file CQB/RNShotASVAL
- 7ms Loading file CQB/RNShotAutoShotgun
- 6ms Loading file CQB/RNShotCZ
- 19ms Loading file CQB/RNShotM1014
- 7ms Loading file CQB/RNShotMAC11
- 3ms Loading file CQB/RNShotMP5
- 6ms Loading file CQB/RNShotMPX
- 5ms Loading file CQB/RNShotPumpShot
- 8ms Loading file CQB/RNShotSAIGA
- 6ms Loading file CQB/RNShotSMG
- 5ms Loading file CQB/RNShotSMG2SD
- 3ms Loading file CQB/RNShotSMGAK
- 3ms Loading file CQB/RNShotSMGSDMAC
- 5ms Loading file CQB/RNShotSMG_II
- 9ms Loading file CQB/RNShotSPAS
- 6ms Loading file CQB/RNShotSawnOff
- 9ms Loading file CQB/RNShotShotgun
- 8ms Loading file CQB/RNShotShotgun_II
- 5ms Loading file CQB/RNShotSkorpionVZ
- 9ms Loading file CQB/RNShotUSAS
- 6ms Loading file CQB/RNUMP45Shot
- 5ms Loading file CSGOC4/BombPlanted
- 6ms Loading file CSGOC4/BombPlanting
- 10ms Loading file CT_Update/RNLAW80Shot
- 6ms Loading file CT_Update/RNMP9Shot
- 8ms Loading file CT_Update/RNNovaShot
- 5ms Loading file CT_Update/RNShotMP5K
- 6ms Loading file CT_Update/RNShotMP7SMG
- 5ms Loading file Explosion/RNEnergyExplosion
- 19ms Loading file Explosion/RNExplosion
- 14ms Loading file Explosion/RNSmokeExplosion
- 12ms Loading file Explosives/RNJavelinAiming
- 12ms Loading file Explosives/RNRailgunShot
- 4ms Loading file Explosives/RNShotGL
- 8ms Loading file Explosives/RNShotJavelin
- 16ms Loading file Explosives/RNShotLauncher
- 8ms Loading file Explosives/RNShotRPG
- 7ms Loading file Explosives/RNShotXM25
- 5ms Loading file Explosives/RNShot_ChinaLakeGL
- 2ms Loading file Explosives/papers
- 9ms Loading file LMG/RNShotDP28LMG
- 6ms Loading file LMG/RNShotL86LMG
- 3ms Loading file LMG/RNShotM240B
- 3ms Loading file LMG/RNShotM60LMG
- 3ms Loading file LMG/RNShotRPD
- 3ms Loading file LMG/RNShotRPK
- 8ms Loading file LMG/RNShotVulcan
- 9ms Loading file Lever_Action/RNShotModel1866
- 9ms Loading file Lever_Action/RNShotModel1887
- 3ms Loading file Misc/RNCrossbow
- 4ms Loading file Misc/RNShotBallistic
- 3ms Loading file Misc/RNThrowTomahawk
- 7ms Loading file Molly/RNInteractMolly
- 11ms Loading file Molly/RNMollyIgnite
- 3ms Loading file Molly/RNMollyThrow
- 18ms Loading file NonLethal/RNShotWUSPistol
- 5ms Loading file Pistol/RNDeagleShot
- 5ms Loading file Pistol/RNPistolShot
- 1ms Loading file Pistol/RNPistolSupressed
- 6ms Loading file Pistol/RNShot1911
- 4ms Loading file Pistol/RNShotColtPython
- 5ms Loading file Pistol/RNShotFiveSeven
- 5ms Loading file Pistol/RNShotGlock17
- 5ms Loading file Pistol/RNShotMP445Ruski
- 6ms Loading file Pistol/RNShotP226
- 6ms Loading file Pistol/RNShotPistol_II
- 6ms Loading file Pistol/RNShotRevolver
- 4ms Loading file Pistol/RNShotSupressed_II
- 6ms Loading file Pistol/RNShotSupressed_III
- 8ms Loading file Pistol/RNShotTec9
- 5ms Loading file Pistol/RNShot_1911Hellfighter
- 5ms Loading file Pistol/RNShot_CZ75B
- 5ms Loading file Pistol/RNShot_GenericRevolver
- 7ms Loading file Pistol/RNShot_SpringfieldXD
- 7ms Loading file Retribution_Update/RNAugerShot
- 7ms Loading file Retribution_Update/RNLongbowCharge
- 8ms Loading file Retribution_Update/RNLongbowShot
- 4ms Loading file Retribution_Update/RNRazorShot
- 8ms Loading file Rifle/RNShotAUG
- 2ms Loading file Rifle/RNShotG36C
- 9ms Loading file Rifle/RNShotGalil
- 6ms Loading file Rifle/RNShotM14Rifle
- 6ms Loading file Rifle/RNShotSCARH
- 8ms Loading file Rifle/RNShotSKS
- 8ms Loading file Rifle/RNShotStoner63
- 7ms Loading file Rifle/RNShot_AEK971
- 7ms Loading file Rifle/RNShot_AK12
- 8ms Loading file Rifle/RNShot_AK47Rifle
- 4ms Loading file Rifle/RNShot_AKM
- 5ms Loading file Rifle/RNShot_AN94
- 8ms Loading file Rifle/RNShot_BoltActionOldie
- 6ms Loading file Rifle/RNShot_GenericAR
- 3ms Loading file Rifle/RNShot_GenericAR_II
- 9ms Loading file Rifle/RNShot_GenericAR_III
- 6ms Loading file Rifle/RNShot_GenericAR_IV
- 6ms Loading file Rifle/RNShot_GenericBullpup
- 6ms Loading file Rifle/RNShot_GenericBullpup_II
- 6ms Loading file Rifle/RNShot_GenericBullpup_III
- 6ms Loading file Rifle/RNShot_GenericBullpup_IV
- 5ms Loading file Rifle/RNShot_Groza4
- 4ms Loading file Rifle/RNShot_SDAR
- 2ms Loading file Rifle/RNShot_SDAR_II
- 5ms Loading file Rifle/RNShot_SDAR_III
- 5ms Loading file Rifle/RNShot_SD_Bullpup
- 6ms Loading file Sniper/RNShot50Cal
- 9ms Loading file Sniper/RNShotBallista
- 11ms Loading file Sniper/RNShotBoltAction
- 4ms Loading file Sniper/RNShotDragunov
- 10ms Loading file Sniper/RNShotGOL
- 5ms Loading file Sniper/RNShotIntervention
- 10ms Loading file Sniper/RNShotM40Sniper
- 6ms Loading file Sniper/RNShotPSG
- 22ms Loading file Sniper/RNShotSV98
- 4ms Loading file Sniper/RNShotVSSVintorez
- 22ms Loading file Sniper/RNShot_AWP
- 5ms Loading file Sniper/RNShot_BoltGenericSD
- 10ms Loading file Sniper/RNShot_CSLR4Sn
- 5ms Loading file Sniper/RNShot_DMRSDGeneric
- 5ms Loading file Sniper/RNShot_DMRSDGeneric_II
- 8ms Loading file Sniper/RNShot_EBRGeneric
- 16ms Loading file Sniper/RNShot_G29Sn
- 11ms Loading file Sniper/RNShot_Generic50Bolt
- 8ms Loading file Sniper/RNShot_GenericBoltSniper
- 9ms Loading file Sniper/RNShot_GenericBoltSniper_II
- 9ms Loading file Sniper/RNShot_GenericDMR
- 7ms Loading file Sniper/RNShot_GenericDMR_II
- 9ms Loading file Sniper/RNShot_GenericDMR_III
- 11ms Loading file Sniper/RNShot_L96A1Sn
- 6ms Loading file Sniper/RNShot_M14DMR
- 8ms Loading file Sniper/RNShot_MSR
- 7ms Loading file Sniper/RNShot_SNIPEX
- 5ms Loading file Sniper/RNShot_SRSSD
- 7ms Loading file Sniper/RNShot_SSG08Sn
- 11ms Loading file Sniper/RNTac50Shot
- 2ms Loading file Turret/RNPortalTurretHurt
- 3ms Loading file Turret/RNShotPortalTurretGun
- 2ms Loading file Turret/RNTargetAcquired1
- 3ms Loading file Turret/RNTargetAcquired2
- 6ms Loading file Turret/RNTargetAcquired3
- 3ms Loading file Turret/RNTargetAcquired4
- 4ms Loading file Turret/RNTargetAcquired5
- 3ms Loading file Turret/RNTargetAcquired6
- 4ms Loading file Turret/RNTargetAcquired7
- 4ms Loading file Turret/RNTargetAcquired8
- 87ms Loading file UI/MSFOpeningSting
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
690ms Loading assets of type UnityEngine.Texture2D for mod 1611200179
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
1433ms Loading assets of type UnityEngine.AudioClip for mod 1567074403
- 10ms Loading file Airstrike/InteractUSMCEMPStrike
- 10ms Loading file Airstrike/RNAir_Aiming
- 11ms Loading file Airstrike/RNInteractAirstrike
- 11ms Loading file Airstrike/RNInteractSpetsnazAirstrike
- 7ms Loading file Airstrike/RNOdinInteract
- 10ms Loading file Airstrike/RNShotAirstrike
- 19ms Loading file Airstrike/RNShotEnemyAirstrike
- 16ms Loading file Airstrike/RNShotOdin
- 11ms Loading file Airstrike/RN_IMSActivated
- 12ms Loading file Airstrike/RN_IMSReady
- 61ms Loading file Airstrike/SerbiaStronkLoop
- 4ms Loading file Airstrike/SerbiaStronkPickup
- 14ms Loading file Airstrike/ShotUSMCEMPStrike
- 9ms Loading file CQB/RN870Shot
- 6ms Loading file CQB/RNP90Shot
- 18ms Loading file CQB/RNSMGSuppressed
- 19ms Loading file CQB/RNShortShot
- 6ms Loading file CQB/RNShotASVAL
- 8ms Loading file CQB/RNShotAutoShotgun
- 6ms Loading file CQB/RNShotCZ
- 9ms Loading file CQB/RNShotM1014
- 6ms Loading file CQB/RNShotMAC11
- 3ms Loading file CQB/RNShotMP5
- 6ms Loading file CQB/RNShotMPX
- 5ms Loading file CQB/RNShotPumpShot
- 9ms Loading file CQB/RNShotSAIGA
- 14ms Loading file CQB/RNShotSMG
- 7ms Loading file CQB/RNShotSMG2SD
- 3ms Loading file CQB/RNShotSMGAK
- 3ms Loading file CQB/RNShotSMGSDMAC
- 5ms Loading file CQB/RNShotSMG_II
- 9ms Loading file CQB/RNShotSPAS
- 11ms Loading file CQB/RNShotSawnOff
- 11ms Loading file CQB/RNShotShotgun
- 8ms Loading file CQB/RNShotShotgun_II
- 5ms Loading file CQB/RNShotSkorpionVZ
- 10ms Loading file CQB/RNShotUSAS
- 7ms Loading file CQB/RNUMP45Shot
- 5ms Loading file CSGOC4/BombPlanted
- 6ms Loading file CSGOC4/BombPlanting
- 7ms Loading file CT_Update/RNLAW80Shot
- 6ms Loading file CT_Update/RNMP9Shot
- 8ms Loading file CT_Update/RNNovaShot
- 5ms Loading file CT_Update/RNShotMP5K
- 7ms Loading file CT_Update/RNShotMP7SMG
- 18ms Loading file Explosion/RNEnergyExplosion
- 33ms Loading file Explosion/RNExplosion
- 14ms Loading file Explosion/RNSmokeExplosion
- 12ms Loading file Explosives/RNJavelinAiming
- 19ms Loading file Explosives/RNRailgunShot
- 4ms Loading file Explosives/RNShotGL
- 15ms Loading file Explosives/RNShotJavelin
- 10ms Loading file Explosives/RNShotLauncher
- 13ms Loading file Explosives/RNShotRPG
- 7ms Loading file Explosives/RNShotXM25
- 5ms Loading file Explosives/RNShot_ChinaLakeGL
- 1ms Loading file Explosives/papers
- 7ms Loading file LMG/RNShotDP28LMG
- 6ms Loading file LMG/RNShotL86LMG
- 3ms Loading file LMG/RNShotM240B
- 3ms Loading file LMG/RNShotM60LMG
- 3ms Loading file LMG/RNShotRPD
- 3ms Loading file LMG/RNShotRPK
- 9ms Loading file LMG/RNShotVulcan
- 25ms Loading file Lever_Action/RNShotModel1866
- 25ms Loading file Lever_Action/RNShotModel1887
- 4ms Loading file Misc/RNCrossbow
- 4ms Loading file Misc/RNShotBallistic
- 3ms Loading file Misc/RNThrowTomahawk
- 7ms Loading file Molly/RNInteractMolly
- 10ms Loading file Molly/RNMollyIgnite
- 3ms Loading file Molly/RNMollyThrow
- 5ms Loading file Pistol/RNDeagleShot
- 5ms Loading file Pistol/RNPistolShot
- 1ms Loading file Pistol/RNPistolSupressed
- 6ms Loading file Pistol/RNShot1911
- 4ms Loading file Pistol/RNShotColtPython
- 26ms Loading file Pistol/RNShotFiveSeven
- 5ms Loading file Pistol/RNShotGlock17
- 5ms Loading file Pistol/RNShotMP445Ruski
- 6ms Loading file Pistol/RNShotP226
- 6ms Loading file Pistol/RNShotPistol_II
- 6ms Loading file Pistol/RNShotRevolver
- 4ms Loading file Pistol/RNShotSupressed_II
- 6ms Loading file Pistol/RNShotSupressed_III
- 8ms Loading file Pistol/RNShotTec9
- 5ms Loading file Pistol/RNShot_1911Hellfighter
- 9ms Loading file Pistol/RNShot_CZ75B
- 7ms Loading file Pistol/RNShot_GenericRevolver
- 8ms Loading file Pistol/RNShot_SpringfieldXD
- 7ms Loading file Retribution_Update/RNAugerShot
- 7ms Loading file Retribution_Update/RNLongbowCharge
- 8ms Loading file Retribution_Update/RNLongbowShot
- 10ms Loading file Retribution_Update/RNRazorShot
- 9ms Loading file Rifle/RNShotAUG
- 2ms Loading file Rifle/RNShotG36C
- 9ms Loading file Rifle/RNShotGalil
- 6ms Loading file Rifle/RNShotM14Rifle
- 6ms Loading file Rifle/RNShotSCARH
- 8ms Loading file Rifle/RNShotSKS
- 6ms Loading file Rifle/RNShotStoner63
- 6ms Loading file Rifle/RNShot_AEK971
- 6ms Loading file Rifle/RNShot_AK12
- 8ms Loading file Rifle/RNShot_AK47Rifle
- 4ms Loading file Rifle/RNShot_AKM
- 6ms Loading file Rifle/RNShot_AN94
- 8ms Loading file Rifle/RNShot_BoltActionOldie
- 5ms Loading file Rifle/RNShot_GenericAR
- 3ms Loading file Rifle/RNShot_GenericAR_II
- 9ms Loading file Rifle/RNShot_GenericAR_III
- 6ms Loading file Rifle/RNShot_GenericAR_IV
- 6ms Loading file Rifle/RNShot_GenericBullpup
- 6ms Loading file Rifle/RNShot_GenericBullpup_II
- 6ms Loading file Rifle/RNShot_GenericBullpup_III
- 6ms Loading file Rifle/RNShot_GenericBullpup_IV
- 5ms Loading file Rifle/RNShot_Groza4
- 4ms Loading file Rifle/RNShot_SDAR
- 2ms Loading file Rifle/RNShot_SDAR_II
- 5ms Loading file Rifle/RNShot_SDAR_III
- 5ms Loading file Rifle/RNShot_SD_Bullpup
- 6ms Loading file Sniper/RNShot50Cal
- 9ms Loading file Sniper/RNShotBallista
- 11ms Loading file Sniper/RNShotBoltAction
- 4ms Loading file Sniper/RNShotDragunov
- 10ms Loading file Sniper/RNShotGOL
- 5ms Loading file Sniper/RNShotIntervention
- 10ms Loading file Sniper/RNShotM40Sniper
- 6ms Loading file Sniper/RNShotPSG
- 9ms Loading file Sniper/RNShotSV98
- 4ms Loading file Sniper/RNShotVSSVintorez
- 17ms Loading file Sniper/RNShot_AWP
- 6ms Loading file Sniper/RNShot_BoltGenericSD
- 10ms Loading file Sniper/RNShot_CSLR4Sn
- 5ms Loading file Sniper/RNShot_DMRSDGeneric
- 5ms Loading file Sniper/RNShot_DMRSDGeneric_II
- 8ms Loading file Sniper/RNShot_EBRGeneric
- 12ms Loading file Sniper/RNShot_G29Sn
- 11ms Loading file Sniper/RNShot_Generic50Bolt
- 8ms Loading file Sniper/RNShot_GenericBoltSniper
- 9ms Loading file Sniper/RNShot_GenericBoltSniper_II
- 10ms Loading file Sniper/RNShot_GenericDMR
- 7ms Loading file Sniper/RNShot_GenericDMR_II
- 9ms Loading file Sniper/RNShot_GenericDMR_III
- 11ms Loading file Sniper/RNShot_L96A1Sn
- 6ms Loading file Sniper/RNShot_M14DMR
- 8ms Loading file Sniper/RNShot_MSR
- 7ms Loading file Sniper/RNShot_SNIPEX
- 12ms Loading file Sniper/RNShot_SRSSD
- 9ms Loading file Sniper/RNShot_SSG08Sn
- 11ms Loading file Sniper/RNTac50Shot
- 2ms Loading file Turret/RNPortalTurretHurt
- 5ms Loading file Turret/RNShotPortalTurretGun
- 3ms Loading file Turret/RNTargetAcquired1
- 9ms Loading file Turret/RNTargetAcquired2
- 9ms Loading file Turret/RNTargetAcquired3
- 3ms Loading file Turret/RNTargetAcquired4
- 4ms Loading file Turret/RNTargetAcquired5
- 3ms Loading file Turret/RNTargetAcquired6
- 4ms Loading file Turret/RNTargetAcquired7
- 4ms Loading file Turret/RNTargetAcquired8
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
326ms Loading assets of type UnityEngine.Texture2D for mod 1567074403
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
62ms Loading assets of type UnityEngine.Texture2D for mod 935859141
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
34ms Loading assets of type UnityEngine.Texture2D for mod 939249024
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
57ms Loading assets of type UnityEngine.Texture2D for mod 937725712
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
134ms Loading assets of type UnityEngine.Texture2D for mod 725949967
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
349ms Loading assets of type UnityEngine.Texture2D for mod 725447220
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
165ms Loading assets of type UnityEngine.Texture2D for mod 1574977528
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
172ms Loading assets of type UnityEngine.Texture2D for mod 705924057
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
347ms Loading assets of type UnityEngine.AudioClip for mod 1541721856
- 19ms Loading file ArcticLion_Angry
- 16ms Loading file ArcticLion_Call
- 8ms Loading file ArcticLion_Death
- 14ms Loading file ArcticLion_Wounded
- 6ms Loading file Feralisk_Angry
- 8ms Loading file Feralisk_Call
- 5ms Loading file Feralisk_Death
- 2ms Loading file Feralisk_Wounded
- 11ms Loading file Frostmite_Angry
- 3ms Loading file Frostmite_Call
- 12ms Loading file Frostmite_Death
- 9ms Loading file Frostmite_Wounded
- 17ms Loading file Needlepost_Angry
- 16ms Loading file Needlepost_Call
- 4ms Loading file Needlepost_Death
- 5ms Loading file RaptorShrimp_Angry
- 5ms Loading file RaptorShrimp_Call
- 8ms Loading file RaptorShrimp_Death
- 8ms Loading file Terramorph_Call
- 3ms Loading file Terramorph_Death
- 4ms Loading file Terramorph_Wounded
- 5ms Loading file TetraSlug_Angry
- 4ms Loading file TetraSlug_Call
- 21ms Loading file AA_Bouldermit/Shampoo Hair-SoundBible.com-11966726
- 3ms Loading file AA_DartSound/Bow_Fire_Arrow-Stephan_Schutze-2133929391
- 5ms Loading file AA_Plasma/Western Ricochet-SoundBible.com-1725886901-1725886901
- 5ms Loading file AA_PoisonBolt/Blood Splatters-SoundBible.com-125814492-125814492
- 11ms Loading file AA_RockThrow/Catapult-SoundBible.com-829548288-829548288
- 6ms Loading file AA_Rumbling/Crumbling-Mike_Koenig-1123041125
- 2ms Loading file AA_Throw/karate_kid_punch-Mike_Koenig-732906088
- 7ms Loading file AA_Wasp/Grasshopper-SoundBible.com-1592176719-1592176719
- 8ms Loading file ArcticLion/ArcticLion_Angry
- 7ms Loading file ArcticLion/ArcticLion_Call
- 23ms Loading file ArcticLion/ArcticLion_Death
- 2ms Loading file ArcticLion/ArcticLion_Wounded
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
1681ms Loading assets of type UnityEngine.Texture2D for mod 1541721856
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
150ms Loading assets of type UnityEngine.AudioClip for mod 949283405
- 19ms Loading file Horse/horse_scream
- 12ms Loading file Horse/horse_snort
- 8ms Loading file Horse/horse_whinny
- 7ms Loading file Megabadger/Megabadger_growl
- 6ms Loading file Megabadger/Megabadger_growl2
- 18ms Loading file Megabadger/Megabadger_growl_low
- 8ms Loading file Megabadger/Megabadger_roar
- 15ms Loading file RedPanda/redpanda_chitter1
- 21ms Loading file RedPanda/redpanda_chitter2
- 20ms Loading file RedPanda/redpanda_chitter3
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
1832ms Loading assets of type UnityEngine.Texture2D for mod 949283405
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
43ms Loading assets of type UnityEngine.AudioClip for mod 1256708879
- 16ms Loading file Attackdog_Angry
- 6ms Loading file Smalldog_Angry
- 1ms Loading file Dogs/Attackdog_Angry
- 2ms Loading file Dogs/Smalldog_Angry
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
245ms Loading assets of type UnityEngine.Texture2D for mod 1256708879
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
61ms Loading assets of type UnityEngine.Texture2D for mod 1539309348
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
88ms Loading assets of type UnityEngine.Texture2D for mod 760088748
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
65ms Loading assets of type UnityEngine.Texture2D for mod 895944018
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
19ms Loading assets of type UnityEngine.Texture2D for mod 1557737647
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
105ms Loading assets of type UnityEngine.Texture2D for mod 1381494864
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
2166ms Loading assets of type UnityEngine.Texture2D for mod 728381322
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
535ms Loading assets of type UnityEngine.AudioClip for mod 765890823
- 24ms Loading file CosmicHorrors/Chthonian_Call
- 26ms Loading file CosmicHorrors/Chthonian_Death
- 16ms Loading file CosmicHorrors/Chthonian_Moving
- 79ms Loading file CosmicHorrors/Chthonian_Pit
- 20ms Loading file CosmicHorrors/Chthonian_Scream
- 8ms Loading file CosmicHorrors/Chthonian_Wounded
- 29ms Loading file CosmicHorrors/Cthulhid_Scream
- 9ms Loading file CosmicHorrors/Cthulhid_Wounded
- 13ms Loading file CosmicHorrors/DarkYoung_Call
- 16ms Loading file CosmicHorrors/DarkYoung_Call2
- 24ms Loading file CosmicHorrors/DarkYoung_Death
- 14ms Loading file CosmicHorrors/DarkYoung_Wounded
- 11ms Loading file CosmicHorrors/DeepOne_Call
- 4ms Loading file CosmicHorrors/DeepOne_Death
- 4ms Loading file CosmicHorrors/DeepOne_Wounded
- 9ms Loading file CosmicHorrors/MiGo_Call
- 4ms Loading file CosmicHorrors/MiGo_Death
- 5ms Loading file CosmicHorrors/MiGo_Wounded
- 8ms Loading file CosmicHorrors/Shoggoth_Call-old1
- 14ms Loading file CosmicHorrors/Shoggoth_Call
- 9ms Loading file CosmicHorrors/Shoggoth_Death
- 4ms Loading file CosmicHorrors/Shoggoth_Wounded
- 22ms Loading file CosmicHorrors/StarVampire_Call
- 6ms Loading file CosmicHorrors/StarVampire_Death
- 26ms Loading file CosmicHorrors/StarVampire_Warning
- 16ms Loading file CosmicHorrors/StarVampire_Wounded
- 48ms Loading file CosmicHorrors/Cthulhid_Call/Cthulhid_Call
- 31ms Loading file CosmicHorrors/Cthulhid_Call/Cthulhid_CallThree
- 15ms Loading file CosmicHorrors/Cthulhid_Call/Cthulhid_CallTwo
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
265ms Loading assets of type UnityEngine.Texture2D for mod 765890823
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
211ms Loading assets of type UnityEngine.AudioClip for mod 815039373
- 42ms Loading file Byakhee/ByakheeLanding
- 30ms Loading file Byakhee/ByakheeTakeOff
- 19ms Loading file Messages/CultsStandardMsg
- 20ms Loading file Voices/PencilWriting
- 19ms Loading file Voices/PsionicCast
- 60ms Loading file Voices/SacrificeWhispers
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
1349ms Loading assets of type UnityEngine.Texture2D for mod 815039373
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
88ms Loading assets of type UnityEngine.Texture2D for mod 824831260
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
532ms Loading assets of type UnityEngine.Texture2D for mod 973091113
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
108ms Loading assets of type UnityEngine.Texture2D for mod 1588616696
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
222ms Loading assets of type UnityEngine.Texture2D for mod 1539310322
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
116ms Loading assets of type UnityEngine.Texture2D for mod 1385697110
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
47ms Loading assets of type UnityEngine.Texture2D for mod 832333531
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
40ms Loading assets of type UnityEngine.Texture2D for mod 833899765
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
111ms Loading assets of type UnityEngine.Texture2D for mod 1233893175
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
75ms Loading assets of type UnityEngine.Texture2D for mod 1180720427
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
151ms Loading assets of type UnityEngine.Texture2D for mod 1550972678
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
17ms Loading assets of type UnityEngine.Texture2D for mod 1508778962
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
471ms Loading assets of type UnityEngine.AudioClip for mod 836308268
- 38ms Loading file DBH/WaterPump
- 20ms Loading file DBH/defecate
- 14ms Loading file DBH/drain
- 10ms Loading file DBH/drips
- 104ms Loading file DBH/hottub
- 26ms Loading file DBH/plunger
- 22ms Loading file DBH/sewage
- 15ms Loading file DBH/sewageLoop
- 30ms Loading file DBH/shower
- 7ms Loading file DBH/sprinkler
- 14ms Loading file DBH/vacuumtoilet
- 39ms Loading file DBH/washingmachine
- 28ms Loading file DBH/flushes/flush-1
- 18ms Loading file DBH/flushes/flush-2
- 9ms Loading file DBH/flushes/flush-3
- 22ms Loading file DBH/stalldoor/close1
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
786ms Loading assets of type UnityEngine.Texture2D for mod 836308268
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
72ms Loading assets of type UnityEngine.AudioClip for mod 725956940
- 58ms Loading file EPOEAssembling
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
101ms Loading assets of type UnityEngine.Texture2D for mod 725956940
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
172ms Loading assets of type UnityEngine.Texture2D for mod 1082915328
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
259ms Loading assets of type UnityEngine.Texture2D for mod 745193823
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
642ms Loading assets of type UnityEngine.Texture2D for mod 1539311500
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
34ms Loading assets of type UnityEngine.Texture2D for mod 1602597619
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
283ms Loading assets of type UnityEngine.Texture2D for mod 758810805
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
42ms Loading assets of type UnityEngine.Texture2D for mod 726121441
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
66ms Loading assets of type UnityEngine.Texture2D for mod 1204258045
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
96ms Loading assets of type UnityEngine.AudioClip for mod 1113137502
- 27ms Loading file GR_Buzz/Short Circuit-SoundBible.com-1450168875
- 15ms Loading file GR_Laser/Laser_Machine_Gun-Mike_Koenig-1194129298
- 4ms Loading file GR_Liquid/Water Splash-SoundBible.com-800223477
- 26ms Loading file GR_PoisonBlast/Toxic Goo-SoundBible.com-392739082
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
1668ms Loading assets of type UnityEngine.Texture2D for mod 1113137502
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
275ms Loading assets of type UnityEngine.Texture2D for mod 1138962461
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
156ms Loading assets of type UnityEngine.Texture2D for mod 775578146
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
29ms Loading assets of type UnityEngine.Texture2D for mod 1502703299
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
1958ms Loading assets of type UnityEngine.AudioClip for mod 777197241
- 194ms Loading file Gramophone/AintMisbehavin
- 221ms Loading file Gramophone/Charleston
- 20ms Loading file Gramophone/Gramophone_Windup
- 268ms Loading file Gramophone/InTheMood
- 270ms Loading file Gramophone/ItDontMeanAThing
- 231ms Loading file Gramophone/KingPorterStomp
- 489ms Loading file Piano/FurElise
- 11ms Loading file Power/FlipSwitch
- 22ms Loading file Power/SteamBoilerAmbient
- 15ms Loading file Power/SteamDriverPlantLoop
- 13ms Loading file Power/SteamElectricPlantLoop
- 13ms Loading file Power/SteamHiss
- 29ms Loading file Power/SteamHissLoop
- 22ms Loading file Power/SteamPressureDown
- 16ms Loading file Power/SteamPressureUp
- 20ms Loading file Power/SteamPressureWhistle
- 5ms Loading file Power/WaterPumpAmbient
- 14ms Loading file Radio/RadioSeeking
- 23ms Loading file Refrigerator/RefrigeratorAmbience
- 14ms Loading file Things/Tesla
- 12ms Loading file Things/TeslaAmbient
- 5ms Loading file Things/TeslaImpact
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
1468ms Loading assets of type UnityEngine.Texture2D for mod 777197241
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
265ms Loading assets of type UnityEngine.Texture2D for mod 784327493
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
262ms Loading assets of type UnityEngine.Texture2D for mod 843715469
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
189ms Loading assets of type UnityEngine.Texture2D for mod 937331021
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
80ms Loading assets of type UnityEngine.Texture2D for mod 955561873
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
40ms Loading assets of type UnityEngine.AudioClip for mod 717575199
- 20ms Loading file Interact/Joy/ArrowImpact
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
40ms Loading assets of type UnityEngine.Texture2D for mod 717575199
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
66ms Loading assets of type UnityEngine.Texture2D for mod 727710731
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
281ms Loading assets of type UnityEngine.Texture2D for mod 1544944259
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
79ms Loading assets of type UnityEngine.Texture2D for mod 1103809207
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
222ms Loading assets of type UnityEngine.Texture2D for mod 1478936958
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
55ms Loading assets of type UnityEngine.Texture2D for mod 1547782284
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
144ms Loading assets of type UnityEngine.Texture2D for mod 1541281528
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
190ms Loading assets of type UnityEngine.Texture2D for mod 812653710
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
762ms Loading assets of type UnityEngine.Texture2D for mod 1543177113
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
25051ms Loading assets of type UnityEngine.AudioClip for mod 1541528454
- 36ms Loading file MGSVVendingMachine
- 13ms Loading file SprunkVendingMachine
- 91ms Loading file Black_Hat/CP_TypingLoop
- 436ms Loading file CP_UI/GhostReconW_Loadout
- 12ms Loading file CP_UI/Mission_Select
- 8ms Loading file Ingest/NukaColaIngest
- 14ms Loading file Radio/RadioSeeking
- 10ms Loading file Red_Phone/CP_RadioDropDown
- 37ms Loading file Red_Phone/CP_RadioPickup
- 68ms Loading file Red_Phone/HQRF_3
- 36ms Loading file Red_Phone/Patrol_2
- 41ms Loading file Red_Phone/QRF_2
- 9ms Loading file Red_Phone/RedPhone_Pickup
- 22ms Loading file Refrigerator/RefrigeratorAmbience
- 474ms Loading file Tunes/7NationArmy_WhiteStripes
- 479ms Loading file Tunes/AFistfulofDollars_EnnioMorricone
- 368ms Loading file Tunes/AHorseWithNoName_America
- 379ms Loading file Tunes/Africa_Toto
- 277ms Loading file Tunes/BanditRadio_STALKER
- 383ms Loading file Tunes/BigIron_MartyRobbins
- 876ms Loading file Tunes/BohemianRhapsody_Queen
- 191ms Loading file Tunes/ClintEastwood_Gorillaz
- 563ms Loading file Tunes/DontStopBelieving_Journey
- 559ms Loading file Tunes/EcstacyOfGold_EnnioMorricone
- 773ms Loading file Tunes/ElPaso_MartyRobbins
- 386ms Loading file Tunes/ExileVilify_TheNational
- 475ms Loading file Tunes/FeelGoodInc_Gorillaz
- 250ms Loading file Tunes/FortunateSon_CreedenceClearWaterRevival
- 430ms Loading file Tunes/Gloria_LauraBranigan
- 725ms Loading file Tunes/Hallelujah_RoyAndRosemary
- 468ms Loading file Tunes/HeresToYou_EnnioMorricone
- 351ms Loading file Tunes/Hurt_JohnnyCash
- 439ms Loading file Tunes/IDontWanttoSettheWorldonFire_InkSpots
- 469ms Loading file Tunes/JustOneDance_CaroEmerald
- 506ms Loading file Tunes/Kalinka_RedArmyChoir
- 426ms Loading file Tunes/Katyusha_RedArmyChoir
- 550ms Loading file Tunes/LifeOnMars_DavidBowie
- 440ms Loading file Tunes/MetalGearSaga_Kojima
- 520ms Loading file Tunes/NewOrder_Elegia
- 426ms Loading file Tunes/Nuclear_MikeOldfield
- 410ms Loading file Tunes/OnlyTimeWillTell_Asia
- 293ms Loading file Tunes/PaintItBlack_RollingStones
- 590ms Loading file Tunes/PianoMan_BillyJoel
- 364ms Loading file Tunes/PortalRadio_Glados
- 436ms Loading file Tunes/QuietsTheme_Kojima
- 658ms Loading file Tunes/RadioGaGa_Queen
- 438ms Loading file Tunes/ReYourBrains_JonathanCoulton
- 662ms Loading file Tunes/SoldiersThings_PascalFricke
- 820ms Loading file Tunes/SpaceOddity_DavidBowie
- 415ms Loading file Tunes/Superman_FiveForFighting
- 615ms Loading file Tunes/SweetChildOMine_GunsNRoses
- 768ms Loading file Tunes/SweetDreams_Eurythmic
- 414ms Loading file Tunes/TakeMeHomeCountryRoad_JohnDenver
- 328ms Loading file Tunes/TakeOnMe_A-ha
- 353ms Loading file Tunes/TheHouseOfTheRisingSun_TheAnimals
- 446ms Loading file Tunes/TheLastOfUs_GustavoSantaolalla
- 672ms Loading file Tunes/TheManComesAround_JohnnyCash
- 403ms Loading file Tunes/TheManWhoSoldTheWorld_DavidBowie
- 448ms Loading file Tunes/TheWanderer_Dion
- 438ms Loading file Tunes/TimeInABottle_JimCroce
- 439ms Loading file Tunes/TrumpetSong_GhostReconWildlands
- 504ms Loading file Tunes/WayToFall_Starsailor
- 572ms Loading file Tunes/WayfaringStranger_MichaelBurnyeat
- 360ms Loading file Tunes/Yesterday_TheBeatles
- 45ms Loading file VR_Training/CP_ArcadeLoop
- 96ms Loading file VR_Training/CP_PortalVRLoop
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
1446ms Loading assets of type UnityEngine.Texture2D for mod 1541528454
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
101ms Loading assets of type UnityEngine.AudioClip for mod 761379469
- 15ms Loading file rxArmBeep
- 8ms Loading file rxBeep
- 12ms Loading file rxCavein
- 1ms Loading file rxDialClick
- 3ms Loading file rxElectricZap
- 2ms Loading file rxEmpCharge
- 14ms Loading file rxFoamSolidify
- 8ms Loading file rxFoamSpray
- 1ms Loading file rxGlassBreak
- 7ms Loading file rxIgnite
- 1ms Loading file rxLeverCycle
- 6ms Loading file rxSensorBlip
- 1ms Loading file rxSensorChime
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
120ms Loading assets of type UnityEngine.Texture2D for mod 761379469
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
1495ms Loading assets of type UnityEngine.AudioClip for mod 1127530465
- 37ms Loading file Misc/ADS
- 10ms Loading file Misc/HEL
- 52ms Loading file Misc/MarauderCharge
- 7ms Loading file Misc/MarauderPulse
- 26ms Loading file Misc/MarauderPulseTail
- 14ms Loading file Misc/ReactorOff
- 3ms Loading file Misc/ReactorOn
- 18ms Loading file Misc/Upgrade
- 4ms Loading file Misc/alert06
- 5ms Loading file Misc/alert10
- 3ms Loading file Misc/bleep1
- 16ms Loading file Misc/damagealarm
- 31ms Loading file Misc/decloak
- 9ms Loading file Misc/hatch
- 50ms Loading file Misc/huge-explosion
- 25ms Loading file Misc/huge-explosionDistant
- 13ms Loading file Misc/incomingArty
- 4ms Loading file Misc/input_failed_clean
- 4ms Loading file Misc/jefferies_tube
- 2ms Loading file Misc/keyok3
- 6ms Loading file Misc/meltdown
- 6ms Loading file Misc/obeliskCharge
- 8ms Loading file Misc/obeliskDischarge
- 5ms Loading file Misc/obeliskFail
- 4ms Loading file Misc/overheat
- 5ms Loading file Misc/processing2
- 6ms Loading file Misc/railgun
- 90ms Loading file Misc/rumble
- 7ms Loading file Misc/sizzle
- 7ms Loading file Misc/tesla
- 4ms Loading file Misc/teslaDischarge
- 43ms Loading file Misc/transformerDischarge
- 4ms Loading file Misc/SCAD/SCAD
- 2ms Loading file Misc/geiger/radioactive-01
- 2ms Loading file Misc/geiger/radioactive-02
- 3ms Loading file Misc/geiger/radioactive-03
- 3ms Loading file Misc/geiger/radioactive-04
- 2ms Loading file Misc/geiger/radioactive-05
- 3ms Loading file Misc/geiger/radioactive-06
- 57ms Loading file Work/Construct/power-drill
- 30ms Loading file Work/Construct/welding
- 18ms Loading file Work/Construct/EnergyTest/en1
- 29ms Loading file Work/Construct/EnergyTest/en4
- 16ms Loading file Work/Construct/EnergyTest/en5
- 22ms Loading file Work/Construct/EnergyTest/en6
- 26ms Loading file Work/Construct/EnergyTest/en7
- 28ms Loading file Work/Construct/EnergyTest/en8
- 42ms Loading file Work/Construct/EnergyTest/en9
- 6ms Loading file Work/Construct/welding/weld1
- 5ms Loading file Work/Construct/welding/weld2
- 9ms Loading file Work/Construct/welding/weld3
- 2ms Loading file Work/Construct/workshop/Servo_Motor_Craftsman Drill_4.0V_Small_04
- 3ms Loading file Work/Construct/workshop/drill1
- 4ms Loading file Work/Construct/workshop/drill2
- 4ms Loading file Work/Construct/workshop/drill3
- 3ms Loading file Work/Construct/workshop/drill4
- 24ms Loading file Work/Construct/workshop/workshop
- 40ms Loading file Work/Construct/workshop/workshop2
- 45ms Loading file Work/Construct/workshop/workshop3
- 22ms Loading file Work/Construct/workshop/workshop4
- 29ms Loading file Work/Construct/workshop/workshop5
- 19ms Loading file Work/Construct/workshop/workshop6
- 21ms Loading file Work/Construct/workshop/workshop7
- 24ms Loading file hums/console
- 108ms Loading file hums/core_hum
- 23ms Loading file hums/tranny
- 6ms Loading file missile/countdown
- 4ms Loading file missile/hatch
- 78ms Loading file missile/missile
- 148ms Loading file missile/nuclearBlastInMap
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
3734ms Loading assets of type UnityEngine.Texture2D for mod 1127530465
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
410ms Loading assets of type UnityEngine.Texture2D for mod 1558161673
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
150ms Loading assets of type UnityEngine.AudioClip for mod 1321849735
- 46ms Loading file Rimefeller/hums/derrickDrill
- 27ms Loading file Rimefeller/hums/derrickDrill_Start
- 15ms Loading file Rimefeller/hums/gasman
- 9ms Loading file Rimefeller/hums/gen_hum
- 9ms Loading file Rimefeller/misc/catchfire
- 33ms Loading file Rimefeller/misc/rumble
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
1398ms Loading assets of type UnityEngine.Texture2D for mod 1321849735
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
165ms Loading assets of type UnityEngine.Texture2D for mod 1180721235
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
890ms Loading assets of type UnityEngine.Texture2D for mod 769201959
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
187ms Loading assets of type UnityEngine.AudioClip for mod 1465459039
- 14ms Loading file Rimlaser/CrystalDrop
- 15ms Loading file Rimlaser/DeathRay_fire
- 8ms Loading file Rimlaser/LaserHeavyMinigun_fire
- 17ms Loading file Rimlaser/LaserHeavyMinigun_warmup
- 18ms Loading file Rimlaser/LaserHeavy_fire
- 6ms Loading file Rimlaser/LaserIncendiary_fire
- 8ms Loading file Rimlaser/LaserMinigun_fire
- 47ms Loading file Rimlaser/LaserMinigun_warmup
- 6ms Loading file Rimlaser/LaserPistol_fire
- 8ms Loading file Rimlaser/LaserRifle_fire
- 12ms Loading file Rimlaser/LaserSniper_fire
- 3ms Loading file Rimlaser/Spin
- 5ms Loading file Rimlaser/Tesla
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
224ms Loading assets of type UnityEngine.Texture2D for mod 1465459039
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
3371ms Loading assets of type UnityEngine.Texture2D for mod 1541536041
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
1613ms Loading assets of type UnityEngine.AudioClip for mod 1608498683
- 19ms Loading file Airstrike/InteractUSMCEMPStrike
- 22ms Loading file Airstrike/RNAir_Aiming
- 10ms Loading file Airstrike/RNInteractAirstrike
- 23ms Loading file Airstrike/RNInteractSpetsnazAirstrike
- 7ms Loading file Airstrike/RNOdinInteract
- 10ms Loading file Airstrike/RNShotAirstrike
- 11ms Loading file Airstrike/RNShotEnemyAirstrike
- 12ms Loading file Airstrike/RNShotOdin
- 7ms Loading file Airstrike/RN_IMSActivated
- 22ms Loading file Airstrike/RN_IMSReady
- 63ms Loading file Airstrike/SerbiaStronkLoop
- 4ms Loading file Airstrike/SerbiaStronkPickup
- 14ms Loading file Airstrike/ShotUSMCEMPStrike
- 9ms Loading file CQB/RN870Shot
- 6ms Loading file CQB/RNP90Shot
- 6ms Loading file CQB/RNSMGSuppressed
- 13ms Loading file CQB/RNShortShot
- 7ms Loading file CQB/RNShotASVAL
- 7ms Loading file CQB/RNShotAutoShotgun
- 6ms Loading file CQB/RNShotCZ
- 9ms Loading file CQB/RNShotM1014
- 6ms Loading file CQB/RNShotMAC11
- 3ms Loading file CQB/RNShotMP5
- 6ms Loading file CQB/RNShotMPX
- 11ms Loading file CQB/RNShotPumpShot
- 11ms Loading file CQB/RNShotSAIGA
- 6ms Loading file CQB/RNShotSMG
- 5ms Loading file CQB/RNShotSMG2SD
- 3ms Loading file CQB/RNShotSMGAK
- 10ms Loading file CQB/RNShotSMGSDMAC
- 7ms Loading file CQB/RNShotSMG_II
- 10ms Loading file CQB/RNShotSPAS
- 6ms Loading file CQB/RNShotSawnOff
- 9ms Loading file CQB/RNShotShotgun
- 20ms Loading file CQB/RNShotShotgun_II
- 6ms Loading file CQB/RNShotSkorpionVZ
- 9ms Loading file CQB/RNShotUSAS
- 6ms Loading file CQB/RNUMP45Shot
- 5ms Loading file CSGOC4/BombPlanted
- 6ms Loading file CSGOC4/BombPlanting
- 7ms Loading file CT_Update/RNLAW80Shot
- 6ms Loading file CT_Update/RNMP9Shot
- 8ms Loading file CT_Update/RNNovaShot
- 10ms Loading file CT_Update/RNShotMP5K
- 8ms Loading file CT_Update/RNShotMP7SMG
- 6ms Loading file Explosion/RNEnergyExplosion
- 20ms Loading file Explosion/RNExplosion
- 15ms Loading file Explosion/RNSmokeExplosion
- 13ms Loading file Explosives/RNJavelinAiming
- 13ms Loading file Explosives/RNRailgunShot
- 4ms Loading file Explosives/RNShotGL
- 8ms Loading file Explosives/RNShotJavelin
- 9ms Loading file Explosives/RNShotLauncher
- 8ms Loading file Explosives/RNShotRPG
- 7ms Loading file Explosives/RNShotXM25
- 5ms Loading file Explosives/RNShot_ChinaLakeGL
- 2ms Loading file Explosives/papers
- 6ms Loading file LMG/RNShotDP28LMG
- 10ms Loading file LMG/RNShotL86LMG
- 4ms Loading file LMG/RNShotM240B
- 13ms Loading file LMG/RNShotM60LMG
- 4ms Loading file LMG/RNShotRPD
- 3ms Loading file LMG/RNShotRPK
- 9ms Loading file LMG/RNShotVulcan
- 9ms Loading file Lever_Action/RNShotModel1866
- 9ms Loading file Lever_Action/RNShotModel1887
- 8ms Loading file Misc/RNCrossbow
- 4ms Loading file Misc/RNShotBallistic
- 3ms Loading file Misc/RNThrowTomahawk
- 8ms Loading file Molly/RNInteractMolly
- 10ms Loading file Molly/RNMollyIgnite
- 3ms Loading file Molly/RNMollyThrow
- 5ms Loading file Pistol/RNDeagleShot
- 5ms Loading file Pistol/RNPistolShot
- 1ms Loading file Pistol/RNPistolSupressed
- 6ms Loading file Pistol/RNShot1911
- 4ms Loading file Pistol/RNShotColtPython
- 9ms Loading file Pistol/RNShotFiveSeven
- 12ms Loading file Pistol/RNShotGlock17
- 10ms Loading file Pistol/RNShotMP445Ruski
- 8ms Loading file Pistol/RNShotP226
- 6ms Loading file Pistol/RNShotPistol_II
- 6ms Loading file Pistol/RNShotRevolver
- 7ms Loading file Pistol/RNShotSupressed_II
- 14ms Loading file Pistol/RNShotSupressed_III
- 8ms Loading file Pistol/RNShotTec9
- 5ms Loading file Pistol/RNShot_1911Hellfighter
- 5ms Loading file Pistol/RNShot_CZ75B
- 9ms Loading file Pistol/RNShot_GenericRevolver
- 18ms Loading file Pistol/RNShot_SpringfieldXD
- 8ms Loading file Retribution_Update/RNAugerMkIIShot
- 7ms Loading file Retribution_Update/RNAugerShot
- 3ms Loading file Retribution_Update/RNBlueDeathShot
- 7ms Loading file Retribution_Update/RNLongbowCharge
- 9ms Loading file Retribution_Update/RNLongbowShot
- 7ms Loading file Retribution_Update/RNRazorShot
- 9ms Loading file Rifle/RNShotAUG
- 7ms Loading file Rifle/RNShotG36C
- 10ms Loading file Rifle/RNShotGalil
- 6ms Loading file Rifle/RNShotM14Rifle
- 6ms Loading file Rifle/RNShotSCARH
- 8ms Loading file Rifle/RNShotSKS
- 6ms Loading file Rifle/RNShotStoner63
- 6ms Loading file Rifle/RNShot_AEK971
- 6ms Loading file Rifle/RNShot_AK12
- 8ms Loading file Rifle/RNShot_AK47Rifle
- 6ms Loading file Rifle/RNShot_AKM
- 6ms Loading file Rifle/RNShot_AN94
- 26ms Loading file Rifle/RNShot_BoltActionOldie
- 6ms Loading file Rifle/RNShot_GenericAR
- 11ms Loading file Rifle/RNShot_GenericAR_II
- 23ms Loading file Rifle/RNShot_GenericAR_III
- 19ms Loading file Rifle/RNShot_GenericAR_IV
- 6ms Loading file Rifle/RNShot_GenericBullpup
- 6ms Loading file Rifle/RNShot_GenericBullpup_II
- 6ms Loading file Rifle/RNShot_GenericBullpup_III
- 6ms Loading file Rifle/RNShot_GenericBullpup_IV
- 15ms Loading file Rifle/RNShot_Groza4
- 5ms Loading file Rifle/RNShot_SDAR
- 13ms Loading file Rifle/RNShot_SDAR_II
- 9ms Loading file Rifle/RNShot_SDAR_III
- 5ms Loading file Rifle/RNShot_SD_Bullpup
- 5ms Loading file Sniper/RNShot50Cal
- 9ms Loading file Sniper/RNShotBallista
- 24ms Loading file Sniper/RNShotBoltAction
- 4ms Loading file Sniper/RNShotDragunov
- 10ms Loading file Sniper/RNShotGOL
- 6ms Loading file Sniper/RNShotIntervention
- 10ms Loading file Sniper/RNShotM40Sniper
- 6ms Loading file Sniper/RNShotPSG
- 9ms Loading file Sniper/RNShotSV98
- 4ms Loading file Sniper/RNShotVSSVintorez
- 11ms Loading file Sniper/RNShot_AWP
- 5ms Loading file Sniper/RNShot_BoltGenericSD
- 10ms Loading file Sniper/RNShot_CSLR4Sn
- 5ms Loading file Sniper/RNShot_DMRSDGeneric
- 5ms Loading file Sniper/RNShot_DMRSDGeneric_II
- 8ms Loading file Sniper/RNShot_EBRGeneric
- 10ms Loading file Sniper/RNShot_G29Sn
- 11ms Loading file Sniper/RNShot_Generic50Bolt
- 8ms Loading file Sniper/RNShot_GenericBoltSniper
- 9ms Loading file Sniper/RNShot_GenericBoltSniper_II
- 9ms Loading file Sniper/RNShot_GenericDMR
- 7ms Loading file Sniper/RNShot_GenericDMR_II
- 10ms Loading file Sniper/RNShot_GenericDMR_III
- 11ms Loading file Sniper/RNShot_L96A1Sn
- 6ms Loading file Sniper/RNShot_M14DMR
- 8ms Loading file Sniper/RNShot_MSR
- 7ms Loading file Sniper/RNShot_SNIPEX
- 17ms Loading file Sniper/RNShot_SRSSD
- 10ms Loading file Sniper/RNShot_SSG08Sn
- 11ms Loading file Sniper/RNTac50Shot
- 14ms Loading file Turret/RNPortalTurretHurt
- 13ms Loading file Turret/RNShotPortalTurretGun
- 13ms Loading file Turret/RNTargetAcquired1
- 24ms Loading file Turret/RNTargetAcquired2
- 11ms Loading file Turret/RNTargetAcquired3
- 4ms Loading file Turret/RNTargetAcquired4
- 4ms Loading file Turret/RNTargetAcquired5
- 3ms Loading file Turret/RNTargetAcquired6
- 4ms Loading file Turret/RNTargetAcquired7
- 4ms Loading file Turret/RNTargetAcquired8
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
705ms Loading assets of type UnityEngine.Texture2D for mod 1608498683
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
140ms Loading assets of type UnityEngine.Texture2D for mod 950475543
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
858ms Loading assets of type UnityEngine.Texture2D for mod 1180573408
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
222ms Loading assets of type UnityEngine.AudioClip for mod 725947920
- 24ms Loading file Things/Beowulf
- 6ms Loading file Things/CP
- 6ms Loading file Things/CPR
- 7ms Loading file Things/CR
- 8ms Loading file Things/Caracal
- 8ms Loading file Things/Fafnir
- 9ms Loading file Things/GRC
- 8ms Loading file Things/GRM
- 8ms Loading file Things/GRS
- 2ms Loading file Things/Gdgrenade
- 4ms Loading file Things/Grendel
- 18ms Loading file Things/HC
- 5ms Loading file Things/Harrower
- 7ms Loading file Things/IRAD
- 3ms Loading file Things/IRGD
- 4ms Loading file Things/IRTE
- 6ms Loading file Things/IRYP
- 2ms Loading file Things/ImpactB
- 5ms Loading file Things/ImpactL
- 6ms Loading file Things/ImpactM
- 7ms Loading file Things/JI
- 6ms Loading file Things/Lynx
- 2ms Loading file Things/Microwave
- 9ms Loading file Things/Muspell
- 2ms Loading file Things/OpticBurst
- 2ms Loading file Things/Plasma
- 5ms Loading file Things/PlasmaEXP
- 3ms Loading file Things/Shard
- 3ms Loading file Things/Spike
- 5ms Loading file Things/Swarm
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
453ms Loading assets of type UnityEngine.Texture2D for mod 725947920
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
174ms Loading assets of type UnityEngine.AudioClip for mod 849231601
- 30ms Loading file Things/AMRifle
- 21ms Loading file Things/BattleRifle
- 28ms Loading file Things/Carbine
- 11ms Loading file Things/MilitiaRifle
- 8ms Loading file Things/Revolver
- 11ms Loading file Things/SmartMG
- 6ms Loading file Things/SmartPistol
- 14ms Loading file Things/SmartRifle
- 12ms Loading file Things/SmartSMG
- 7ms Loading file Things/SmartSniperRifle
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
154ms Loading assets of type UnityEngine.Texture2D for mod 849231601
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
62ms Loading assets of type UnityEngine.AudioClip for mod 736139206
- 31ms Loading file Things/Blixt
- 17ms Loading file Things/Orkan
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
86ms Loading assets of type UnityEngine.Texture2D for mod 736139206
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
29ms Loading assets of type UnityEngine.Texture2D for mod 1553179450
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
33ms Loading assets of type UnityEngine.Texture2D for mod 728314182
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
48ms Loading assets of type UnityEngine.Texture2D for mod 728315620
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
57ms Loading assets of type UnityEngine.Texture2D for mod 841904008
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
9ms Loading assets of type UnityEngine.Texture2D for mod 832328837
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
64ms Loading assets of type UnityEngine.Texture2D for mod 1210535987
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
80ms Loading assets of type UnityEngine.Texture2D for mod 1593287612
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
48ms Loading assets of type UnityEngine.Texture2D for mod 963265186
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
36ms Loading assets of type UnityEngine.Texture2D for mod 731878767
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
545ms Loading assets of type UnityEngine.Texture2D for mod 1185265132
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
64ms Loading assets of type UnityEngine.Texture2D for mod 1496570408
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
18ms Loading assets of type UnityEngine.AudioClip for mod 1188485723
- 2ms Loading file Ingest/OpenSoda
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
304ms Loading assets of type UnityEngine.Texture2D for mod 1188485723
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
108ms Loading assets of type UnityEngine.Texture2D for mod 1185269798
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
260ms Loading assets of type UnityEngine.Texture2D for mod 1185906628
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
58ms Loading assets of type UnityEngine.Texture2D for mod 1185274792
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
77ms Loading assets of type UnityEngine.Texture2D for mod 1185276569
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
165ms Loading assets of type UnityEngine.Texture2D for mod 1185307686
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
74ms Loading assets of type UnityEngine.Texture2D for mod 1192504741
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
135ms Loading assets of type UnityEngine.Texture2D for mod 1185281838
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
37ms Loading assets of type UnityEngine.Texture2D for mod 1537104826
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
51ms Loading assets of type UnityEngine.Texture2D for mod 1565686128
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
31ms Loading assets of type UnityEngine.Texture2D for mod 1292711799
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
1499ms Loading assets of type UnityEngine.Texture2D for mod 1140051385
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
59ms Loading assets of type UnityEngine.Texture2D for mod 1566269698
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
120ms Loading assets of type UnityEngine.Texture2D for mod 964765466
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
112ms Loading assets of type UnityEngine.Texture2D for mod 915345933
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not load reference to Verse.ThingDef named Titanium
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
SaveableFromNode exception: System.NullReferenceException: Object reference not set to an instance of an object
at Quarry.ThingCountExposable.GetHashCode () [0x00000] in <filename unknown>:0
at System.Collections.Generic.EqualityComparer`1+DefaultComparer[Verse.IExposable].GetHashCode (IExposable obj) [0x00000] in <filename unknown>:0
at System.Collections.Generic.HashSet`1[Verse.IExposable].GetItemHashCode (IExposable item) [0x00000] in <filename unknown>:0
at System.Collections.Generic.HashSet`1[Verse.IExposable].Contains (IExposable item) [0x00000] in <filename unknown>:0
at Verse.PostLoadIniter.RegisterForPostLoadInit (IExposable s) [0x00000] in <filename unknown>:0
at Verse.ScribeExtractor.SaveableFromNode[ThingCountExposable] (System.Xml.XmlNode subNode, System.Object[] ctorArgs) [0x00000] in <filename unknown>:0
Subnode:
<li><thingDef>Titanium</thingDef><count>2</count></li>
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Quarry:: Found 1 or more null entries in ore dictionary. This is most likely due to an uninstalled mod. Removing entries from list.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Quarry:: Failed to load ThingCount. Setting default.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Could not load UnityEngine.Texture2D at Ui/Designators/ZoneCreate_Fishing in any active mod or in base resources.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
2969ms Load backstories.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Translation data for language English has 135 errors. Generate translation report for more info.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Main thread ---
44ms Inject selected language data into game data.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Alien race successfully completed 144 patches with harmony.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Removed Log Error from Manhunter Job
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Deconstruct Return Fix harmony patch successfully loaded
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Facial Stuff successfully completed 535 patches with harmony.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
AF :: Aliens Detected.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[HarvestYieldPatch] loaded...
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[IncidentPersonStat] injected.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
KeepHandsFeet Harmony Patches:
Prefix:
MainMenuDrawer.MainMenuOnGUI
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
MoreTraitSlots Harmony Patches:
Prefix:
PawnGenerator.GenerateTraits [HarmonyPriority(Priority.VeryHigh)]
CharacterCardUtility.DrawCharacterCard [HarmonyPriority(Priority.VeryHigh)]
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Path Avoid: Adding Harmony Postfix to PawnUtility.GetAvoidGrid()
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
PickUpAndHaul v0.1.0.5 welcomes you to RimWorld with pointless logspam.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[PrepareLanding] MonoController Initialization
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[PrepareLanding] WorldLayerBehaviour Static Initialization
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Ranged Stagger Rebalanced has completed dynamic stopping power patching. Scroll down for details...
Weapons that have been patched:
- Gun_MiniTurret (mini-turret gun)
- Gun_AutocannonTurret (autocannon)
- Gun_TurretSniper (uranium slug cannon)
- Gun_TripleRocket (triple rocket launcher)
- Gun_DoomsdayRocket (doomsday rocket launcher)
- Weapon_GrenadeFrag (frag grenades)
- Weapon_GrenadeMolotov (molotov cocktails)
- Weapon_GrenadeEMP (EMP grenades)
- Gun_ChargeBlasterHeavy (heavy charge blaster)
- Gun_InfernoCannon (inferno cannon)
- Bow_Short (short bow)
- Pila (pila)
- Bow_Recurve (recurve bow)
- Bow_Great (greatbow)
- Weapon_Ballista (ballista)
- Gun_TurretLongRange (mini-sniper turret gun)
- Gun_TurretMGEmplacement (heavy machine gun)
- Gun_TurretSniperUpgraded (sniper cannon)
- Gun_GMGTurret (mounted general pupose machine gun)
- Gun_LeverActionRifle (lever-action rifle)
- Gun_GrenadeLauncher (grenade launcher)
- Gun_IncendiaryLauncher (incendiary launcher)
- Gun_ChargeLance (charge lance)
- Gun_GMGTurretUpgraded (mounted general pupose machine gun)
- AD_Pacificator (crucible rifle)
- AD_Negotiator (crucible pistol)
- AD_Arbiter (heat cannon)
- AD_Intercessor (crucible precision rifle)
- AD_Axiom (plasma caster)
- AD_CrucibleCarbine (crucible carbine)
- AD_Repose (plasma grenade)
- ADOriginal_Pacificator (crucible rifle)
- ADOriginal_Negotiator (crucible pistol)
- ADOriginal_Arbiter (heat cannon)
- ADOriginal_Intercessor (crucible precision rifle)
- ADOriginal_Axiom (plasma caster)
- AD_Sentinel (plasma rifle)
- AD_PBomb (plasma bomb)
- AD_EMB (EMP discharger)
- AD_AuxPistol (auxiliary pistol)
- Gun_MCrucibleRifle (makeshift crucible rifle)
- AD_PlasmaBombard (plasma bombard)
- AD_CrucibleCannon (crucible cannon)
- Gun_Harvester (harvester cannon)
- Gun_PB (plasma bombard)
- Weapon_MADness (MADness)
- Gun_Scraptooth (feral pistols)
- Gun_ScatterStick (feral scattergun)
- Gun_Thrasher (feral rifle)
- Gun_Crucifier (feral anti-material rifle)
- Gun_Deathdealer (feral submachine guns)
- Gun_Gnasher (feral nail gun)
- Gun_Scorcher (feral flamethrower)
- Gun_Thumper (feral rocket launcher)
- Gun_RatStick (feral rat sticks)
- Gun_SkullBuster (feral crank gun)
- Feral_ScatterCannon (scatter cannon)
- Feral_RivetCannon (rivet cannon)
- Feral_DMG (dual machine gun)
- Feral_SporeSmoker (smoke spore)
- ROM_Gun_Revolver (webley revolver)
- ROM_Gun_Harpoon (hand-held harpoon gun)
- ROM_Gun_Flaregun (coastal flare gun)
- ROM_Gun_TommyGun (tommy gun)
- ROM_Gun_PumpShotgun_COC (farmer's shotgun)
- ROM_Gun_SurvivalRifle_COC (hunting rifle)
- ROM_Gun_LPRifle_COC (old-fashioned rifle)
- Weapon_GrenadeLauncher_ME (grenade launcher)
- Gun_InfernoCannonChimeraME (inferno cannon (built-in))
- Gun_VulcanTurret (vulcan turret gun)
- Gun_ChargeBlasterInternal (internal charge blaster)
- MechanoidFlameThrower (mechanoid flamethrower)
- MechanoidBoom (mechanoid boom)
- RNGun_VSSDMRMaverick (VSS Vintorez Maverick)
- ROM_MiGoCastingWeapon (Rhombohedral Energy Weapon)
- GR_Weapon_ThrownSac (explosive sac)
- Gun_MicroTurretMKI (Micro turret gun)
- Gun_MicroTurretLauncher (Micro turret launcher)
- Gun_GunComplex (gun complex gun)
- Gun_RocketComplex (rocket complex)
- Gun_TurretMilitary (military turret gun)
- Gun_TurretShredder (shredder turret gun)
- Gun_TurretPrecision (precision turret gun)
- Gun_BlastCharge (rocket complex)
- Gun_VulcanCannon (vulcan cannon gun)
- Artillery_DevastatorBomb (devastator mortar)
- Gun_TurretMilitaryManned (manned military turret gun)
- Gun_TurretShredderManned (manned shredder turret gun)
- Gun_TurretPrecisionManned (manned precision turret gun)
- Gun_BlastChargeManned (manned blast charge cannon)
- Gun_VulcanCannonManned (manned vulcan cannon gun)
- Gun_TurretShredderUpgraded (shredder turret gun)
- Gun_TurretShredderMannedUpgraded (manned shredder turret gun)
- Gun_BlastChargeUpgraded (rocket complex)
- Gun_BlastChargeMannedUpgraded (manned blast charge cannon)
- Gun_VulcanCannonUpgraded (vulcan cannon gun)
- Gun_VulcanCannonMannedUpgraded (manned vulcan cannon gun)
- Artillery_DevastatorBombUpgraded (devastator mortar)
- Marauder_Railgun (Marauder Railgun)
- Rimlaser_LaserRifle (laser rifle)
- Rimlaser_LaserRifleMounted (laser turret barrel)
- Rimlaser_LaserPistol (laser pistol)
- Rimlaser_LaserHeavy (heavy laser)
- Rimlaser_LaserIncendiary (incendiary laser)
- Rimlaser_LaserSniper (laser sniper rifle)
- Rimlaser_LaserMinigun (laser minigun)
- LaserHeavyMinigunMounted (heavy laser minigun)
- Rimlaser_DeathRay (Garin's Hyperboloid)
- Rimlaser_TeslaGun (Tesla gun)
- RNThrown_Vodka (molotov cocktails (vodka))
- RNThrown_Flashbang (flashbangs)
- RNThrown_Incendiary (Incendiaries)
- RNThrown_Smoke (smoke grenades)
- RNGun_VSSDMR (VSS Vintorez)
- RNGun_TT30P (TT-30)
- RNGun_PPSh41_PDW (PPSh-41)
- RNSci_BM001Razor (BM001 Razor)
- RNSci_BM003Razor (BM003 Razor)
- RNSci_BullseyeMkI (Bullseye)
- RNSci_BullseyeMkII (Bullseye Mk II)
- RN_CompoundCrossbow (compound crossbow)
- RN_CompoundBow (compound bow)
- GD_MSST (modular triple-shot)
- GD_MSSS (modular spitfire)
- GD_MSSF (modular flakker)
- GD_TacticalPistol (tactical pistol)
- GD_ModularCarbine (modular carbine)
- GD_ModularRifle (modular rifle)
- GD_ModularDMR (modular DMR)
- GD_ModularLMG (modular LMG)
- GD_HVSMG (GD HV SMG)
- GD_GrenadeLauncher (GD multi launcher)
- GD_INGrenadeLauncher (GD incendiary launcher)
- GD_SKGrenadeLauncher (GD smoke launcher)
- GD_BSLauncher (GD buckshot launcher)
- JI_Hrunting (JI molten rifle)
- JI_Trollsverd (JI molten pistol)
- JI_Fafnir (JI siege shotgun)
- JI_Gramr (JI AMR)
- JI_Grendel (JI siege gun)
- JI_Muspell (JI suppressor cannon)
- YP_BaegYa (microwave grenades)
- JI_Dunder (Satchel charge)
- GD_Bobcat (mini grenades)
- TE_Grief (impact grenades)
- TE_KineticRifle (TE kinetic rifle)
- TE_KineticPistol (TE kinetic pistol)
- TE_ThuddGun (TE thudd gun)
- TE_KineticLongRifle (TE kinetic long rifle)
- TE_StormCannon (TE storm cannon)
- TE_KineticLance (TE kinetic lance)
- YP_SeoLi (YP shard rifle)
- YP_SagPung (YP swarmer)
- YP_SeolHwa (YP dual wield shard pistols)
- YP_GeugGwang (YP microwave emmiter)
- YP_VectorShot (YP vector shot)
- Gun_ChargeSidearm (charge sidearm)
- Gun_ScatterCharger (scatter charger)
- Gun_ChargeDriver (charge driver)
- Gun_EMPRifle (EMP rifle)
- Gun_AssaultCharger (assault charger)
- Gun_BurstCharger (burst charger)
- Gun_RSBattleRifle (battle rifle)
- Gun_RSCarbine (carbine)
- Gun_PistolHeavyRevolver (heavy revolver)
- Gun_RSAMRifle (anti-materiel rifle)
- Gun_Repeater (repeating rifle)
- Gun_AutomaticRifle (automatic rifle)
- Gun_MilitiaRifle (militia rifle)
- Gun_FR (frontier rifle)
- Gun_Blowgun (blowgun)
- Gun_ThrowingAxes (throwing axes)
- Gun_ThrowingClubs (throwing clubs)
- Gun_SmartRifle (smart rifle)
- Gun_SmartPistol (smart pistol)
- Gun_SMG (smart SMG)
- SmartMG (smart machine gun)
- Gun_SmartSniperRifle (smart sniper rifle)
- Gun_NestMissile (ATGM launcher)
- Gun_RocketTurret (rocket launcher)
- Gun_ShardSentry (shard sentry gun)
- Gun_WaveEmitter (microwave emitter)
- Gun_NestHMG (heavy machine gun)
- Gun_NestMMG (medium machine gun)
- Gun_AGS (automatic grenade launcher)
- Gun_FakeRifle (fake rifle)
- Gun_SuvTurret (kinetic long rifle)
- Gun_ShardSentryUpgraded (shard sentry gun)
- Gun_WaveEmitterUpgraded (microwave emitter)
- Gun_NestHMGUpgraded (heavy machine gun)
- Gun_AGSUpgraded (automatic grenade launcher)
- Gun_NestMMGUpgraded (medium machine gun)
- Gun_SuvTurretUpgraded (kinetic long rifle)
- Gun_RocketTurretUpgraded (rocket launcher)
Weapons that haven't been patched:
- Artillery_Mortar (mortar)
- OrbitalTargeterBombardment (orbital bombardment targeter)
- OrbitalTargeterPowerBeam (orbital power beam targeter)
- TornadoGenerator (tornado generator)
- Gun_RailgunMKI (railgun)
- Artillery_MortarUpgraded (mortar)
- Gun_LMGTurret (mounted light machine gun)
- Gun_GPMGTurret (mounted general pupose machine gun)
- Gun_MinigunTurret (mounted minigun)
- Gun_Caliver (caliver)
- Gun_MatchlockPistol (matchlock pistol)
- Gun_Martini (breech-loader)
- Gun_DoubleBarrelShotgun (double-barrel shotgun)
- Gun_Revolver (revolver)
- Gun_PumpShotgun (pump shotgun)
- Gun_BoltActionRifle (bolt-action rifle)
- Gun_SniperRifle (sniper rifle)
- Gun_Autopistol (semi-automatic pistol)
- Gun_SubmachineGun (submachine gun)
- Gun_ChainShotgun (automatic shotgun)
- Gun_AssaultRifle (assault rifle)
- Gun_BattleRifle (battle rifle)
- Gun_LMG (light machine gun)
- Gun_Minigun (minigun)
- Gun_ImprovisedRifle (improvised rifle)
- Gun_BoltActionPistol (bolt-action pistol)
- Gun_LargeRevolver (large revolver)
- Gun_LargeLeverActionRifle (large lever-action rifle)
- Gun_UrbanPistol (urban pistol)
- Gun_ServicePistol (service pistol)
- Gun_SawnOffShotgun (sawn-off shotgun)
- Gun_HeavySMG (cheap submachine gun)
- Gun_ConvertedBoltActionRifle (converted bolt-action rifle)
- Gun_SportingRifle (sporting rifle)
- Gun_MachinePistol (machine pistol)
- Gun_RiotShotgun (riot shotgun)
- Gun_SemiAutomaticShotgun (semi-automatic shotgun)
- Gun_CompactCarbine (compact carbine)
- Gun_RuggedCarbine (rugged carbine)
- Gun_RuggedAssaultRifle (rugged assault rifle)
- Gun_RuggedLMG (rugged light support weapon)
- Gun_RuggedMarksmansRifle (rugged marksman's rifle)
- Gun_ServiceRifle (service rifle)
- Gun_DefenceRifle (defence rifle)
- Gun_AutomaticBattleRifle (automatic battle rifle)
- Gun_VintageMachineGun (vintage machine gun)
- Gun_BalancedBullpupRifle (balanced bullpup rifle)
- Gun_ModernisedBullpupRifle (modernised bullpup rifle)
- Gun_PolymerSubmachineGun (polymer submachine gun)
- Gun_PolymerBullpupRifle (polymer bullpup rifle)
- Gun_PolymerLightSupportWeapon (polymer light support weapon)
- Gun_WeightedBullpupCarbine (weighted bullpup carbine)
- Gun_WeightedBullpupRifle (weighted bullpup rifle)
- Gun_WeightedLightSupportWeapon (weighted light support weapon)
- Gun_PrototypeRifle (prototype rifle)
- Gun_CombatPDW (combat PDW)
- Gun_LightCombatRifle (light combat rifle)
- Gun_HeavyCombatRifle (heavy combat rifle)
- Gun_InfantryAutomaticRifle (infantry automatic rifle)
- Gun_MarksmansRifle (marksman's rifle)
- Gun_ReconRifle (recon rifle)
- Gun_GPMG (general-purpose machine gun)
- Gun_AntiMaterielRifle (anti-materiel rifle)
- Gun_ChargePistol (charge pistol)
- Gun_ChargeCarbine (charge carbine)
- Gun_ChargeRifle (charge rifle)
- Gun_LMGTurretUpgraded (mounted light machine gun)
- Gun_GPMGTurretUpgraded (mounted general pupose machine gun)
- Gun_MinigunTurretUpgraded (mounted minigun)
- RNGun_M2000NLRifle (M2000-NL)
- RNGun_WUSPistol (WU S pistol)
- RNEx_RPG7RL (RPG-7)
- RNEx_ChinaLakeMercenaryGL (China Lake Mercenary)
- RNGun_MosinNagant_Mercenary (Mosin Nagant Mercenary)
- RNGun_AK47MercenaryAR (AK47 Mercenary)
- RNGun_HoneyBadgerGhosts_PDW (AAC Honey Badger Ghost)
- ADS_Dish (ADS Dish)
- Tesla_Coil_Arc_Discharger (Tesla Coil Arc Discharger)
- HEL_Laser (HEL Laser)
- Railgun_Cannon (Punisher Railgun)
- Obelisk_Laser (Obelisk Laser)
- RNEx_AT4RL (AT4)
- RNEx_CarlGustafRL (Carl Gustaf)
- RNEx_SMAWRL (SMAW)
- RNEx_MATADORRL (MATADOR)
- RNEx_RPG32RL (RPG-32)
- RNEx_RPG28RL (RPG-28)
- RNEx_MBTLAWRL (MBT LAW)
- RNEx_JavelinRL (FGM-148 Javelin)
- RNEx_M72LAW (M72 LAW)
- RNEx_M202FLASH (M202 FLASH)
- RNEx_RPG7V2RL (RPG-7V2)
- RNEx_HK69A1GL (HK69A1)
- RNEx_M320GL (M320)
- RNEx_ChinaLakeGL (China Lake)
- RNEx_MilkorMGL (Milkor MGL)
- RNEx_XM25GL (XM25)
- RNThrown_HeNade (HE grenades)
- RNThrown_C4CSGO (C4 explosive)
- RNGun_M9A1_HRT (M9A1 HRT)
- RNGun_Glock17_HRT (Glock 17 HRT)
- RNGun_M24A2HRTSn (M24A2 HRT)
- RNGun_HK417HRTDMR (HK417 HRT)
- RNGun_MP5A2SDHRT_PDW (MP5A2 HRT)
- RNGun_UMP45HRT_PDW (UMP45 HRT)
- RNGun_Remington870HRT (Remington 870 HRT)
- RNGun_M4A1HRT (M4A1 HRT)
- RNGun_DP27LMG (DP-27)
- RNGun_FNMinimi (FN Minimi)
- RNGun_C9A1Minimi (FN Minimi C9A1)
- RNGun_HKMG4LMG (HK MG4)
- RNGun_HKMG5LMG (HK MG5)
- RNGun_FNMAG (FN MAG)
- RNGun_M60LMG (M60)
- RNGun_M240B (M240B)
- RNGun_MK48LMG (Mk 48)
- RNGun_M249LMG (M249)
- RNGun_RPD (RPD)
- RNGun_RPK74LMG (RPK-74)
- RNGun_PKMLMG (PKM)
- RNGun_ZastavaM84LMG (Zastava M84)
- RNGun_LeeEnfield (Lee Enfield)
- RNGun_MosinNagant (Mosin Nagant)
- RNGun_Kar98k (Kar98k)
- RNGun_AW50Sn (AW50)
- RNGun_DesertTechSRS (Desert Tech SRS)
- RNGun_DesertTechHTISn (Desert Tech HTI)
- RNGun_SNIPEXM75Sn (SNIPEX M75)
- RNGun_C3A1Sn (C3A1)
- RNGun_C14TimberwolfSn (C14 Timberwolf)
- RNGun_CDX33PatriotLiteSn (CDX-33 Patriot Lite)
- RNGun_CSLR4Sn (CS-LR4)
- RNGun_FNBallista (FN Ballista)
- RNGun_HaenelRS9Sn (G29)
- RNGun_GOLMagnumSn (GOL Magnum)
- RNGun_InterventionSn (Intervention)
- RNGun_L96A1Sn (L96A1)
- RNGun_L115A3Sn (L115A3 SD)
- RNGun_M24A2Sn (M24A2)
- RNGun_M24A2SDSn (M24A2 SD)
- RNGun_M40A3Sn (M40A5)
- RNGun_R700Sn (Remington 700)
- RNGun_RemingtonMSR (Remington MSR)
- RNGun_SSG08Sn (SSG 08)
- RNGun_SV98Sn (SV-98)
- RNGun_TAC50Sn (TAC-50)
- RNGun_ZastavaM07Sn (Zastava M07)
- RNGun_AS50AMR (AS50)
- RNGun_M82A1AMR (M82A1)
- RNGun_M107AMR (M107)
- RNGun_M99AMR (Type 99)
- RNGun_G3SGDMR (G3SG1)
- RNGun_G28DMR (G28)
- RNGun_HK417DMR (HK417)
- RNGun_PSG1DMR (PSG-1)
- RNGun_WA2000DMR (WA 2000)
- RNGun_L129A1DMR (L129A1)
- RNGun_QBU88DMR (Type 88)
- RNGun_AR10DMR (AR-10)
- RNGun_M14DMR (M14 DMR)
- RNGun_M14EBR (M14 EBR)
- RNGun_M14EBRMilSpec (M14 EBR MIL-SPEC)
- RNGun_M14VLTOR (M1A VLTOR)
- RNGun_M39EMR (M39 EMR)
- RNGun_M39EMRMilSpec (M39 EMR MIL-SPEC)
- RNGun_Mk14EBR (Mk 14 EBR)
- RNGun_M110SASSDMR (M110 SASS)
- RNGun_M21DMR (M21 SWS)
- RNGun_M110SASSSDDMR (M110 SASS SD)
- RNGun_RFBDMR (RFB DMR)
- RNGun_SCARMk20DMR (SCAR Mk20 SSR)
- RNGun_SR25DMR (SR-25)
- RNGun_MK11DMR (MK11 Mod 0)
- RNGun_DragunovDMR (Dragunov SVD)
- RNGun_ZastavaM76DMR (Zastava M76)
- RNGun_SVKDMR (Kalashnikov SVK)
- RNGun_SVUDMR (OTs-03 SVU)
- RNGun_SVUADMR (OTs-03 SVU-A)
- RNGun_SKSDMR (SKS DMR)
- RNGun_SKSSDDMR (SKS DMR-SD)
- RNGun_M1911A1P (M1911A1)
- RNGun_M1911_Hellfighter (M1911A1 Hellfighter)
- RNGun_M1911A2P (M1911A2)
- RNGun_M45A1_Pistol (M45A1)
- RNGun_M9A1P (M9A1)
- RNGun_CZ75B (CZ 75B)
- RNGun_Glock17P (Glock 17)
- RNGun_Glock34CM (Glock 34 Combat Master)
- RNGun_HS2000P (XD-S)
- RNGun_P320P (P320)
- RNGun_PT92Luison (PT92 Luison)
- RNGun_Makarov (Makarov)
- RNGun_MP445P (MP445)
- RNGun_TEC-9P (TEC-9)
- RNGun_USP45SD (USP45 SD)
- RNGun_WaltherPPK (Walther PPK)
- RNGun_MK23P (USSOCOM MK23)
- RNGun_FiveSeven (FN Five-seven)
- RNGun_DesertEagle (Desert Eagle)
- RNGun_DesertEagleGold (Desert Eagle Gold)
- RNGun_P226P (P226)
- RNGun_AK47AR (AK47)
- RNGun_AK47GoldAR (AK47 Gold)
- RNGun_AK47ModernAR (AK47 Tactical)
- RNGun_AKS47AR (AKS-47)
- RNGun_AKMAR (AKM)
- RNGun_AKMTacticalAR (AKM Tactical)
- RNGun_Type56AR (Type 56)
- RNGun_ZastavaM70AR (Zastava M70)
- RNGun_ZastavaM70AB2AR (Zastava M70AB2)
- RNGun_AK74AR (AK74)
- RNGun_AK74TacticalAR (AK74 Tactical)
- RNGun_AK12AR (AK-12)
- RNGun_AK15AR (AK-15)
- RNGun_ZastavaM21AR (Zastava M21)
- RNGun_AK103AR (AK-103)
- RNGun_AK104AR (AK-104)
- RNGun_AEK971AR (AEK-971)
- RNGun_AN94AR (AN-94)
- RNGun_M27AR (M27)
- RNGun_M27IAR (M27 IAR)
- RNGun_M27IAR_SD (M27 IAR SD)
- RNGun_HK416Nomad (HK416 Nomad)
- RNGun_HK416Elite (HK416 Elite)
- RNGun_HK416PunisherSD (HK416 SD Punisher)
- RNGun_HK416SD_Predator (HK416 SD Predator)
- RNGun_HK416SD_DEVGRU (HK416 SD DEVGRU)
- RNGun_XM15AR (XM-15)
- RNGun_SWMP15AR (S&W M&P15)
- RNGun_SIGM400Tread (SIG Tread)
- RNGun_DDM4AR (DDM4 V1)
- RNGun_M4A1MilSpec (M4A1 MIL-SPEC)
- RNGun_M4A1MilSpecSD (M4A1 SD MIL-SPEC)
- RNGun_M4A1Benghazi (M4A1 Benghazi)
- RNGun_M4A1Black (M4A1 Blackout)
- RNGun_M4A1Hunter (M4A1 Hunter)
- RNGun_M4A1Ghost (M4A1 Ghost)
- RNGun_M4A1Fallujah (M4A1 Fallujah)
- RNGun_M4A1Warfighter (M4A1 Warfighter)
- RNGun_M4A1SD_Commando (M4A1 SD Commando)
- RNGun_M4A1SD_VLTOR (M4A1 SD VLTOR)
- RNGun_M4A1SD_Banshee (M4A1 SD Banshee)
- RNGun_M4A1AR (M4A1 Kandahar)
- RNGun_M4A1RamadiSD (M4A1 SD Ramadi)
- RNGun_M16A4AR (M16A4)
- RNGun_M16A4MilSpec (M16A4 MIL-SPEC)
- RNGun_LVOACAR (LVOA-C)
- RNGun_P416Bare (P416)
- RNGun_HK416Bare (HK416)
- RNGun_M4A1RoachAR (M4A1 Roach)
- RNGun_M4A1Bare (M4A1)
- RNGun_M4A1BareSD (M4A1 SD)
- RNGun_M16A1Bare (M16A1)
- RNGun_AUGA2AR (Steyr AUG A2)
- RNGun_AUGA3SDAR (Steyr AUG A3 SD)
- RNGun_C7A1AR (C7A1)
- RNGun_C7A2AR (C7A2)
- RNGun_C8SFWAR (C8SFW)
- RNGun_C8SFWSDAR (C8SFW SD)
- RNGun_C8A2AR (C8A2)
- RNGun_C8A3AR (C8A3)
- RNGun_ACRAR (ACR)
- RNGun_CZ805A2AR (CZ 805 BREN A2)
- RNGun_FBBerylAR (FB Beryl)
- RNGun_FNFALAR (FN FAL)
- RNGun_FNFNCAR (FN FNC)
- RNGun_G36CAR (G36C)
- RNGun_G36CSDAR (G36C SD)
- RNGun_SCARHAR (SCAR-H)
- RNGun_SCARLAR (SCAR-L)
- RNGun_K2CAR (K2C)
- RNGun_MSBS556AR (MSBS-5.56)
- RNGun_XM8AR (XM8)
- RNGun_NagantRevolver (Nagant M1895)
- RNGun_RagingBull (Raging Bull)
- RNGun_Remington1858P (Remington 1858)
- RNGun_ColtPeacemaker (Colt Peacemaker)
- RNGun_ColtPeacemaker_II (Single Action Army)
- RNGun_ColtPython (Colt Python)
- RNGun_ColtCobra (Colt Cobra)
- RNGun_MP412REX (MP-412 REX)
- RNGun_Mateba (Mateba Model 6)
- RNGun_SWModel29P (S&W Model 29)
- RNGun_AK74U_PDW (AK-74U)
- RNGun_AKMSU_PDW (AKMS-U)
- RNGun_AKS74U_PDW (AKS-74U)
- RNGun_CZScorpion_PDW (CZ Scorpion)
- RNGun_HoneyBadger_PDW (AAC Honey Badger)
- RNGun_KrissVectorContractor_PDW (KRISS Vector)
- RNGun_KrissVector_PDW (KRISS Vector SD)
- RNGun_MAC11_PDW (MAC-11)
- RNGun_MAC11SD_PDW (MAC-11 SD)
- RNGun_MP5A2_PDW (MP5A2)
- RNGun_MP5A2SD_PDW (MP5A2 SD)
- RNGun_MP5A3_PDW (MP5A3)
- RNGun_MP5A3SD_PDW (MP5A3 SD)
- RNGun_MP5KPDW_PDW (MP5K-PDW)
- RNGun_MP5KPDWSD_PDW (MP5K-A3 SD)
- RNGun_MP7_PDW (MP7A2)
- RNGun_MP9_PDW (MP9)
- RNGun_MP40_PDW (MP 40)
- RNGun_MPX_PDW (MPX)
- RNGun_P90_PDW (P90)
- RNGun_P90SD_PDW (P90 SD)
- RNGun_PP19_PDW (PP-19 Bizon)
- RNGun_PP2000_PDW (PP-2000)
- RNGun_SkorpionVZ61_PDW (Skorpion vz. 61)
- RNGun_SkorpionVZ61SD_PDW (Skorpion vz. 61 SD)
- RNGun_ThompsonSMG_PDW (Thompson SMG)
- RNGun_UMP45_PDW (UMP45)
- RNGun_UMP45SD_PDW (UMP45 SD)
- RNGun_Uzi_PDW (Uzi)
- RNGun_UziSD_PDW (Uzi SD)
- RNGun_WaltherMPL_PDW (Walther MPL)
- RNGun_ZastavaM92_PDW (Zastava M92)
- RNGun_AA12S (AA-12)
- RNGun_SawedOff (sawed-off shotgun)
- RNGun_KS23S (KS-23)
- RNGun_KSGS (KSG)
- RNGun_Model1887LA (Model 1887)
- RNGun_Model1897S (M97 Trench Gun)
- RNGun_Mossberg500S (Mossberg 500)
- RNGun_Mossberg500TacticalS (Mossberg 500 Tactical)
- RNGun_Remington870S (Remington 870)
- RNGun_Remington870MagnumS (Remington 870 Magnum)
- RNGun_Remington870TacticalS (Remington 870 Tactical)
- RNGun_Saiga12S (Saiga-12)
- RNGun_SuperShorty (Super-Shorty)
- RNGun_SPAS12S (SPAS-12)
- RNGun_Supernova (Supernova)
- RNGun_USAS12S (USAS-12)
- RNGun_UTS15S (UTS-15)
- RNGun_M1014S (M1014)
- RNSci_AugerWS (Auger WS)
- RNSci_AugerMkII (Auger Mk II)
- RNSci_Longbow1S1K (Longbow 1S-1K)
- RNSci_Railgun (Railgun)
- RNAir_EMPDevice (orbital EMP)
- RNAir_RedSpectre (spectre (code red))
- RNAir_SerbAirstrike (kebab remover (Serb airstrike))
- RNAir_Spectre (spectre air bombardment)
- RNAir_OdinBeam (ODIN orbital device)
- RNAir_IMSTornado (I.M.S. weather device)
- RN_BallisticKnife (ballistic knife)
- YP_SangAe (YP spike rifle)
- Bow_Compound (compound bow)
- Artillery_MC (molten cannon)
- Artillery_MCUpgraded (molten cannon)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Dynamic patching Boolean <>m__0(Verse.Thing)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[RimHUD v1.3.2] Integrated with HugsLib
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[RimHUD v1.3.2] Initialized
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Compatibility-Patch for Architect Icons is active.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Allow patch: RIMMSqol:ArchitectButtonFix[ArchitectButtonVisibility]
Allow patch: RIMMSqol:DebugAction_RegenerateFactionLeaders[DebugMenuOptions]
Allow patch: RIMMSqol:DefMapSaveStateFixRecordDef[DefMap]
Allow patch: RIMMSqol:DefMapSaveStateFixWorkTypeDef[DefMap]
Allow patch: RIMMSqol.pathfinding:PathfindingFix[Pathfinding]
Allow patch: RIMMSqol.performance.pawn_rotationtracker:Pawn_RotationTracker_Face[PawnRotation]
Allow patch: RIMMSqol.performance.pawn_rotationtracker:Pawn_RotationTracker_FaceCell[PawnRotation]
Allow patch: RIMMSqol.performance.workgiver_dobill:WorkGiver_DoBill_TryFindBestBillIngredients[DoBillIngredientSearchRadius]
Allow patch: RIMMSqol.performance.worldpawngc:WorldPawnGC_WorldPawnGCTick[WorldPawnGC]
Allow patch: RIMMSqol.performance.worldpawngc:WorldPawnGC_RunGC[WorldPawnGC]
Allow patch: RIMMSqol.performance.worldpawngc:WorldPawnGC_LogDotgraph[WorldPawnGC]
Allow patch: RIMMSqol.performance.worldpawngc:WorldPawnGC_PawnGCDebugResults[WorldPawnGC]
Allow patch: RIMMSqol:PreventAnimalFamilies_Pawn_RelationsTracker_AddDirectRelation[PreventAnimalFamilies]
Allow patch: RIMMSqol:PreventAnimalFamilies_TaleRecorder_RecordTale[PreventAnimalFamilies]
Allow patch: RIMMSqol:PreventTamenessDecay_Pawn_TrainingTracker_Pawn_TrainingTracker[StopTamenessDecay]
Allow patch: RIMMSqol:ReplaceNumericTextboxesInt[NumericTextboxes]
Allow patch: RIMMSqol:ReplaceNumericTextboxesFloat[NumericTextboxes]
Allow patch: RIMMSqol:SnowUtility_MovementTicksAddOn[SnowUtilityMovementTicksAddOn]
Allow patch: RIMMSqol:SpawnRemnantColony[RemnantColony]
Allow patch: RIMMSqol:DisplayConfirmationDialog[RemnantColony]
Allow patch: RIMMSqol:ThingForbiddenPredicatesFix[ForbidByContext]
Allow patch: RIMMSqol:ThinkResultContextFood1[ForbidByContext]
Allow patch: RIMMSqol:ThinkResultContextFood2[ForbidByContext]
Allow patch: RIMMSqol:TrackLevelUp[TrackLevelUp]
Allow patch: RIMMSqol:StopSkillDecay[StopSkillDecay]
Allow patch: RIMMSqol.translations:LanguageChangeListener[LanguageChangeListener]
Allow patch: RIMMSqol:WorkGiver_DoBill_TryFindBestBillIngredientsInSet_AllowMix[DoBillIngredientOverflow]
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Dynamic patching Boolean <>m__0()
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Targeting Modes :: JecsTools detected as active in load order. Patching...
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Targeting Modes :: Animal Variety Coats detected as active in load order. Patching...
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Turret Extensions successfully completed 23 patches with harmony.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Medical Tab :: Adding FertilitySource to the list of required capacities for Fertility.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Medical Tab :: Tag WebSpinningSource is not associated with any pawnCapacity. This may be intentional.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Profitable Weapons :: MendAndRecycle not detected as active in load order.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
SupplyAndDemand Harmony Patches:
Postfix:
StockGenerator.RandomCountOf
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Added recipes for burning books/scrolls successfully.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Removed Log Error from Manhunter Job
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
ChangeDresser Harmony Patches:
Prefix:
Dialog_FormCaravan.PostOpen
CaravanExitMapUtility.ExitMapAndCreateCaravan(IEnumerable<Pawn>, Faction, int)
CaravanExitMapUtility.ExitMapAndCreateCaravan(IEnumerable<Pawn>, Faction, int, int)
Pawn.Kill - Priority First
Pawn_ApparelTracker.Notify_ApparelAdded
ScribeSaver.InitSaving
SettlementAbandonUtility.Abandon
Postfix:
Pawn.GetGizmos
Pawn_ApparelTracker.Notify_ApparelAdded
Pawn_DraftController.Drafted { set }
Pawn_DraftController.GetGizmos
JobGiver_OptimizeApparel.TryGiveJob
ReservationManager.CanReserve
OutfitDatabase.TryDelete
CaravanFormingUtility.StopFormingCaravan
WealthWatcher.ForceRecount
Pawn.Kill - Priority First
Pawn_ApparelTracker.Notify_ApparelRemoved
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
MendingChangeDresserPatch Harmony Patches:
Postfix:
WorkGiver_DoBill.TryFindBestBillIngredients - Priority Last
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Dubs Rimkit 1.4.652
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
InfiniteStorage Harmony Patches:
Prefix:
Designator_Build.ProcessInput - will block if looking for things.
ScribeSaver.InitSaving
SettlementAbandonUtility.Abandon
Postfix:
Pawn_TraderTracker.DrawMedOperationsTab
Pawn_TraderTracker.ThingsInGroup
Pawn_TraderTracker.ColonyThingsWillingToBuy
TradeShip.ColonyThingsWillingToBuy
Window.PreClose
WorkGiver_DoBill.TryFindBestBillIngredients
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
MendingWeaponStoragePatch Harmony Patches:
Postfix:
WorkGiver_DoBill.TryFindBestBillIngredients - Priority Last
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
WeaponStorage Harmony Patches:
Prefix:
Pawn_HealthTracker.MakeDowned - not blocking
Dialog_FormCaravan.PostOpen
CaravanExitMapUtility.ExitMapAndCreateCaravan(IEnumerable<Pawn>, Faction, int)
CaravanExitMapUtility.ExitMapAndCreateCaravan(IEnumerable<Pawn>, Faction, int, int)
MakeUndowned - Priority First
Pawn.Kill - Priority First
Pawn_EquipmentTracker.AddEquipment - Priority First
Pawn_EquipmentTracker.TryDropEquipment - Priority First
Pawn_EquipmentTracker.MakeRoomFor - Priority First
ScribeSaver.InitSaving
SettlementAbandonUtility.Abandon
Postfix:
Pawn_TraderTracker.ColonyThingsWillingToBuy
TradeShip.ColonyThingsWillingToBuy
Window.PreClose
ReservationManager.CanReserve
CaravanFormingUtility.StopFormingCaravan
Pawn_DraftController.Drafted { set; }
WealthWatcher.ForceRecount
MakeDowned - Priority First
Pawn_EquipmentTracker.TryDropEquipment - Priority First
Pawn.Kill - Priority First
Root.Start - Priority Last
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
ShouldHaveNeed may conflict between these mods: com.github.harmony.rimworld.baserobots, Dubwise.DubsBadHygiene
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Removed Log Error from Manhunter Job
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
RimFridge Harmony Patches:
Prefix:
CompTemperatureRuinable.DoTicks - Will return false if within a RimFridge
ReachabilityUtility.CanReach
Postfix:
GameComponentUtility.StartedNewGame
GameComponentUtility.LoadedGame
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Survival Tools :: Fluffy Breakdowns detected as active in load order. Patching...
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Survival Tools :: Quarry detected as active in load order. Patching...
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Survival Tools :: Turret Extensions detected as active in load order. Patching...
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Culling redundant MendAndRecycle recipes for Survival Tools...
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Culled recipe: MendIndustrialSurvivalTool
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Recipe culling complete. Total recipes culled: 1
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Checking all stuff for StuffPropsTool modExtension...
Has props:
Silver (Core)
Gold (Core)
Plasteel (Core)
Uranium (Core)
Jade (Core)
BlocksSandstone (Core)
BlocksGranite (Core)
BlocksLimestone (Core)
BlocksSlate (Core)
BlocksMarble (Core)
LumberAcacia (ModCheck)
LumberCamellia (ModCheck)
LumberCherryBlossom (ModCheck)
LumberJapaneseMaple (ModCheck)
LumberRedMaple (ModCheck)
Foerum (Rimsenal - Federation)
LumberBirch (Expanded Woodworking)
LumberPalm (Expanded Woodworking)
LumberCecropia (Expanded Woodworking)
LumberCocoa (Expanded Woodworking)
LumberCypress (Expanded Woodworking)
LumberDrago (Expanded Woodworking)
LumberMaple (Expanded Woodworking)
WoodLog (Expanded Woodworking)
LumberOak (Expanded Woodworking)
LumberPine (Expanded Woodworking)
LumberPoplar (Expanded Woodworking)
LumberTeak (Expanded Woodworking)
LumberWillow (Expanded Woodworking)
RB_Beeswax (RimBees)
RB_Brittlewax (RimBees)
RB_RedBeeswax (RimBees)
RB_WhiteBeeswax (RimBees)
RB_BlackBeeswax (RimBees)
Synthylene (Rimefeller)
SynthyleneWhite (Rimefeller)
SynthyleneBlack (Rimefeller)
SynthyleneGreen (Rimefeller)
SynthyleneBlue (Rimefeller)
SynthyleneViolet (Rimefeller)
SynthyleneOrange (Rimefeller)
SynthyleneYellow (Rimefeller)
SynthyleneRed (Rimefeller)
SynthyleneOlive (Rimefeller)
SynthyleneSand (Rimefeller)
FiberComposite (Rimefeller)
FiberCompositeWhite (Rimefeller)
FiberCompositeBlack (Rimefeller)
FiberCompositeGreen (Rimefeller)
FiberCompositeBlue (Rimefeller)
FiberCompositeViolet (Rimefeller)
FiberCompositeOrange (Rimefeller)
FiberCompositeYellow (Rimefeller)
FiberCompositeRed (Rimefeller)
FiberCompositeOlive (Rimefeller)
FiberCompositeSand (Rimefeller)
Bonecrete (Rim of Madness - Bones)
BonePlastic (Rim of Madness - Bones)
BoneChina (Rim of Madness - Bones)
LumberBamboo (Expanded Woodworking for Vegetable Garden Project)
LumberIronwood (Expanded Woodworking for Vegetable Garden Project)
LumberApple (Expanded Woodworking for Vegetable Garden Project)
LumberCherry (Expanded Woodworking for Vegetable Garden Project)
LumberDatePalm (Expanded Woodworking for Vegetable Garden Project)
LumberFig (Expanded Woodworking for Vegetable Garden Project)
LumberOrange (Expanded Woodworking for Vegetable Garden Project)
LumberPeach (Expanded Woodworking for Vegetable Garden Project)
LumberCoconut (Expanded Woodworking for Vegetable Garden Project)
Doesn't have props:
Steel (Core)
RFFBlocksConcrete ([RF] Concrete [1.0])
GR_TortoiseShell (Genetic Rim)
GR_DarkYoungWoodLog (GeneticRim Cosmic Horrors Patch)
BlocksHempcrete (Smokeleaf Industry)
HempFiber (Smokeleaf Industry)
HempBiocomposite (Smokeleaf Industry)
HempNanosheet (Smokeleaf Industry)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Attaching Pawn_SurvivalToolAssignmentTracker to Human
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Attaching Pawn_SurvivalToolAssignmentTracker to ReanimatedCorpse
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Attaching Pawn_SurvivalToolAssignmentTracker to Alien_Twilek
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Attaching Pawn_SurvivalToolAssignmentTracker to Alien_Zabrak
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Type GUIDrawUtility probably needs a StaticConstructorOnStartup attribute, because it has a field disabledTex of type Texture2D. All assets must be loaded in the main thread.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Type TextureLoader probably needs a StaticConstructorOnStartup attribute, because it has a field setHarvestProportionIcon of type Texture2D. All assets must be loaded in the main thread.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Type _Designator_SkilledBuilder probably needs a StaticConstructorOnStartup attribute, because it has a field skilled of type Texture2D. All assets must be loaded in the main thread.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Type TextureChooser probably needs a StaticConstructorOnStartup attribute, because it has a field LeftArrow of type Texture2D. All assets must be loaded in the main thread.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
OnLevelWasLoaded was found on ModInitializerComponent
This message has been deprecated and will be removed in a later version of Unity.
Add a delegate to SceneManager.sceneLoaded instead to get notifications after scene loading has completed
(Filename: Line: 376)
[PrepareLanding] MonoController Start
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[PrepareLanding] WorldLayerBehaviour Start
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[OgreStack]: Modify Stack Sizes Complete
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[ModMetaDataCleaner] Removed 112 Metadata and cleaned 575 PreviewImage.
Removed: Misc. Robots, Cleaning Priority, GeneticRim Megafauna Patch, A Dog Said... Animal Prosthetics, [KV] Adjustable Trade Ships - 1.0, LBE's A Dog Said Easy Patcher, Please Haul Perishables, Door Mat R1.0, Exotic Joy, AnimalCollabProj ADS Patch, Area Rugs B19, Billy's Improved Caravan Formation, Birds and Bees (EPOE Patch), Better Infestations, Glitter Tech, CleaningArea, Damage Indicators, HelpTab, Dinosauria, Dinosauria - Patch for A Dog Said..., Hunting Restriction, Days Matter, Harrowed Light, GeneticRim Dinosauria Patch, Craftsmanship, Ivory and Jade Carving, Medieval Times, Misc. Robots++, MiningCo. MiningHelmet, Less Rebuff, QualitySurgeon, MinifyEverything, ResearchPal, Megafauna, RimRoads, RazzleDazzle!, Project RimFactory 3.0 - Public Beta, Lost Joy Objects - Core, Lost Joy Objects - Arcades, Quality Cooldown B19, Respect the Scars Lite [1.0], [FSF] Lightweight Building Polymer, [CP] Retro Joy (B18), Sun Tzu Raider Tactics, [KV] ReColor Stockpile & Growing Zones - 1.0, [B18] Climatize Plants v0.1.3, ZARS Production, Snow Clearance Sanity, [XND] Shooting Skill Enhanced, [XND] Improved Anesthetic, [XND] Simple Plastic, [SYR] Metallic Batteries, NightVision, Realistic Darkness (Lighter Version) 1.0, VGP Garden Resources (Medieval Tech Patch), ZARS Tribal Reborn, Misc. Robots, Cleaning Priority, GeneticRim Megafauna Patch, A Dog Said... Animal Prosthetics, [KV] Adjustable Trade Ships - 1.0, LBE's A Dog Said Easy Patcher, Please Haul Perishables, Door Mat R1.0, Exotic Joy, AnimalCollabProj ADS Patch, Area Rugs B19, Billy's Improved Caravan Formation, Birds and Bees (EPOE Patch), Better Infestations, Glitter Tech, CleaningArea, Damage Indicators, HelpTab, Dinosauria, Dinosauria - Patch for A Dog Said..., Hunting Restriction, Days Matter, Harrowed Light, GeneticRim Dinosauria Patch, Craftsmanship, Ivory and Jade Carving, Medieval Times, Misc. Robots++, MiningCo. MiningHelmet, Less Rebuff, QualitySurgeon, MinifyEverything, ResearchPal, Megafauna, RimRoads, RazzleDazzle!, Project RimFactory 3.0 - Public Beta, Lost Joy Objects - Core, Lost Joy Objects - Arcades, Quality Cooldown B19, Respect the Scars Lite [1.0], [FSF] Lightweight Building Polymer, [CP] Retro Joy (B18), Sun Tzu Raider Tactics, [KV] ReColor Stockpile & Growing Zones - 1.0, [B18] Climatize Plants v0.1.3, ZARS Production, Snow Clearance Sanity, [XND] Shooting Skill Enhanced, [XND] Improved Anesthetic, [XND] Simple Plastic, [SYR] Metallic Batteries, NightVision, Realistic Darkness (Lighter Version) 1.0, VGP Garden Resources (Medieval Tech Patch), ZARS Tribal Reborn
Cleaned: Dual Wield, [1.0] RPG Style Inventory, [CP] Metal Gear Solid - CQC Takedown (1.0), [1.0] Apparel Organizer, Animals Logic, Apparello 2, Auto Seller, Infused, Hospitality, Facial Stuff 1.0, Humanoid Alien Races 2.0, Expanded Woodworking, Genetic Rim, Harvest Organs Post Mortem - 4.1 [1.0], Harvest Everything!, Let's Trade! [1.0], Rimsenal, Rimsenal - Federation, RuntimeGC, RIMMSqol, More Linkables, Numbers, RimWriter - Books, Scrolls, Tablets, and Libraries, Tech Advancing, Rimsenal - Enhanced Vanilla Pack, Simple sidearms, [KV] Weapon Storage - 1.0, VGP Vegetable Garden, VGP Garden Fabrics, VGP Garden Resources, VGP Garden Tools, VGP Garden Gourmet, VGP Garden Drinks, [KV] Change Dresser - 1.0, What the hack?!, The Rock Trade [1.0], [CP] Red Horse Furniture (1.0), [CP] Rimmu-Nation - Clothing (1.0), [KV] RimFridge - 1.0, [KV] Infinite Storage - 1.0, Zabrak Race, Twi'lek Race, [XND] Survival Tools, [sd] advanced powergeneration, More Faction Interaction, [1.0] Defenses Expanded, [1.0] Palisades, Realistic Darkness 1.0, Vanilla Animals Overhaul Reloaded, [1.0] Combat Shields, Rimlaser, Shields, Outfitted, FrameRateControl, In-wall coolers and vents [1.0], Fertile Fields Dub's Hygiene Compat, [CP] Rimmu-Nation - Weapons (1.0), SS Bigger Batteries, SS Battery Fuse, [SYR] Harvest Yield Patch, Harvest Sync, Mass Graves, Animal Variety Coats, [RH] Faction: The Ghosts (1.0), [RH] Faction: Militaires Sans Frontieres (1.0), [XND] AutoOwl, [WD] Fast Moisture Pumps 1.0, FashionRIMsta, JecsTools, Dubs Mint Menus, Misc. TurretBase, Objects, MendAndRecycle, Power Logic, MiningCo. Spaceship, RimQuest, Pawns Paint! Restored, Smokeleaf Industry, Shield Generators by Frontier Developments, [RF] Pawns are Capable! [1.0], Cyber Fauna 1.0, Hardworking animals 1.0, Map Reroll, Alpha Animals, Dubs Bad Hygiene, Designator Shapes, Incident Person Stat, Rimatomics, Pick Up And Haul, Replace Stuff, Quarry 1.0, Static Quality Plus 1.1, [RF] Advanced Bridges [1.0], Better Pawn Control, [XND] Watermill Tweaks, [KV] Consolidated Traits - 1.0, [RF] Concrete [1.0], [RF] Fertile Fields [1.0], [RF] Wild Cultivation [1.0], [RF] Scenarios [1.0], [RF] Realistic Planets [1.0], My Little Planet, Base Robots, Hauling Bot, Cleaning Bot, Rim of Madness - Bones, VGP Garden Canning, FishIndustry, Miscellaneous 'CORE', PowerSwitch, QualityBuilder, Remote Tech, [1.0] DE Surgeries, [XND] Turret Extensions, [XND] Targeting Modes, [KV] More Trait Slots - 1.0, Sometimes Raids Go Wrong, AlienFaces, Rimefeller, [KV] Keep Hands & Feet - 1.0, Suppression, [SYR] Doormats, Dubs Skylights, RimBees, [CP] Chicken Mitchell - Facial Stuff Version (1.0), Miniaturisation, Animal Tab, Allow Tool, AnimalCollabProj, Blueprints, Colony Manager, Achtung!, Call of Cthulhu - Factions, Call of Cthulhu - Cosmic Horrors, Bo's Milkable Animals, Better Workbench Management, Centralized Climate Control, Avoid Friendly Fire, Architect Icons, Ancient_Structures, Combat Readiness Check, Follow Me, Expanded Prosthetics and Organ Engineering, Furnace, Fluffy Breakdowns, EdB Prepare Carefully, Faction Discovery, Defensive Positions, Halloween Special Edition, Industrial Age - Objects and Furniture, Industrial Rollers, Grenade Fix: Rearmed, Call of Cthulhu - Cults, HugsLib, Call of Cthulhu - Straitjackets, LED Lights, Camera+, Haul to Stack, Expanded Woodworking for Vegetable Garden Project, GeneticRim Cosmic Horrors Patch, Carcinoma spreads, Giddy-up! Core, Giddy-up! Battle Mounts, Just Ignore Me Passing, Giddy-up! Caravan, Gear Up And Go, Doors Expanded, Dismiss Trader, Giddy-up! Ride and Roll, Deep Ore Identifier, Fuel Economy, Giddy-up! Mechanoids, Deconstruct Return Fix, Misc. MapGenerator, Relations Tab, Medical Tab, Misc. Training, More Trade Ships, Rimsenal - Rimhair, Rimsenal - Storyteller pack, Rimworld Search Agency, RT Fuse, Rimsenal - Security pack, RIMkea, PrisonerRansom, More Mechanoids, Realistic Rooms, Reverse Commands, More Planning, Power Indicators, Rim of Madness - Arachnophobia, MiningCo. Projector, Prepare Landing, Mechanoids Extraordinaire, Locks, RunAndGun, Research Tree, Meals On Wheels, Locks (DoorsExpanded), Pharmacist, Reworked Temperature Extreme Events [1.0], OgreStack, Search and Destroy, More Vanilla Turrets [1.0], Rimsenal Security - Turret Extensions Patch, Mod Manager, RimHUD, More Vanilla Turrets - Turret Extensions Patch, More Harvest Designators!, Reasonable Components V1, Quality Cooldown, More Furniture [1.0], Work Tab, Spoons Hair Mod, RT Solar Flare Shield, Toxic Fallout Protection Suit, Rimsenal - Feral, The Birds and the Bees, Stuffed Floors, WM Smarter food selection, Storage Solutions, Tables+, WeaponStats, Therapy, Shear Those Corpses Vanilla, Shear Those Corpses ACP, Various Space Ship Chunk, [KV] Trading Spot - 1.0, [KV] Path Avoid - 1.0, VGP Garden Medicine, VGP Xtra Trees and Flowers, VGP More Veggies, Stronger Quality Scaling (1.0), Skilled Stonecutting, [1.0] Wild Animal Sex V4.1, Spidercamp's Dog Pack (1.0), Shoo!, Smart Medicine, Snap Out!, Use Bedrolls, Share The Load, ShowModDesignators, Simple Stockpile Presets, Supply and Demand, Weapon Tech - Turret Extensions Patch, Save Our Ship (Reloaded), [1.0] Terrain Zone Selections, Snow Clearance Sanity, Ugh You Got Me, Weapon Tech, While You're Up [1.0], [XND] Visible Pants, [XND] Stuffed Flaks, [XND] Genetically Engineered Plants, [XND] Forbiddable Debris, [XND] Profitable Weapons, [XND] Memorable Auroras, [XND] Proper Shotguns, [SYR] Set Up Camp, [XND] Ranged Stagger Rebalanced, [XND] TE Turret Expansion, [KV] Faction Control - 1.0, [RF] Packed Lunches [1.0], [RF] Permafrost [1.0], Safe Pause, [KV] Improved Load Transport Pods, ModCheck, Death Rattle, Expanded Incidents, Less Arbitrary Surgery, Psychology, Roof Support 1.0, Run and Hide, SF Grim Reality 1.0, Turn It On and Off v1.3 [1.0], EPOE Replacement Expansion, [RF] Editable Backstories and Names [1.0], [RF] Tribal Pawn Names [1.0], Xeva's Rimhair, Advanced Transport Pods, SF Materials Rebalanced, Cosmic Horrors VGP Meal Patch, Cannibal Meals (VGP required), FF_VG_canned_delights, [KV] Hand 'n' Footwear - 1.0, [FSF] Rain Washes Away Filth, Dubs Rimkit, SafeInside, Dual Wield, [1.0] RPG Style Inventory, [CP] Metal Gear Solid - CQC Takedown (1.0), [1.0] Apparel Organizer, Animals Logic, Apparello 2, Auto Seller, Infused, Hospitality, Facial Stuff 1.0, Humanoid Alien Races 2.0, Expanded Woodworking, Genetic Rim, Harvest Organs Post Mortem - 4.1 [1.0], Harvest Everything!, Let's Trade! [1.0], Rimsenal, Rimsenal - Federation, RuntimeGC, RIMMSqol, More Linkables, Numbers, RimWriter - Books, Scrolls, Tablets, and Libraries, Tech Advancing, Rimsenal - Enhanced Vanilla Pack, Simple sidearms, [KV] Weapon Storage - 1.0, VGP Vegetable Garden, VGP Garden Fabrics, VGP Garden Resources, VGP Garden Tools, VGP Garden Gourmet, VGP Garden Drinks, [KV] Change Dresser - 1.0, What the hack?!, The Rock Trade [1.0], [CP] Red Horse Furniture (1.0), [CP] Rimmu-Nation - Clothing (1.0), [KV] RimFridge - 1.0, [KV] Infinite Storage - 1.0, Zabrak Race, Twi'lek Race, [XND] Survival Tools, [sd] advanced powergeneration, More Faction Interaction, [1.0] Defenses Expanded, [1.0] Palisades, Realistic Darkness 1.0, Vanilla Animals Overhaul Reloaded, [1.0] Combat Shields, Rimlaser, Shields, Outfitted, FrameRateControl, In-wall coolers and vents [1.0], Fertile Fields Dub's Hygiene Compat, [CP] Rimmu-Nation - Weapons (1.0), SS Bigger Batteries, SS Battery Fuse, [SYR] Harvest Yield Patch, Harvest Sync, Mass Graves, Animal Variety Coats, [RH] Faction: The Ghosts (1.0), [RH] Faction: Militaires Sans Frontieres (1.0), [XND] AutoOwl, [WD] Fast Moisture Pumps 1.0, FashionRIMsta, JecsTools, Dubs Mint Menus, Misc. TurretBase, Objects, MendAndRecycle, Power Logic, MiningCo. Spaceship, RimQuest, Pawns Paint! Restored, Smokeleaf Industry, Shield Generators by Frontier Developments, [RF] Pawns are Capable! [1.0], Cyber Fauna 1.0, Hardworking animals 1.0, Map Reroll, Alpha Animals, Dubs Bad Hygiene, Designator Shapes, Incident Person Stat, Rimatomics, Pick Up And Haul, Replace Stuff, Quarry 1.0, Static Quality Plus 1.1, [RF] Advanced Bridges [1.0], Better Pawn Control, [XND] Watermill Tweaks, [KV] Consolidated Traits - 1.0, [RF] Concrete [1.0], [RF] Fertile Fields [1.0], [RF] Wild Cultivation [1.0], [RF] Scenarios [1.0], [RF] Realistic Planets [1.0], My Little Planet, Base Robots, Hauling Bot, Cleaning Bot, Rim of Madness - Bones, VGP Garden Canning, FishIndustry, Miscellaneous 'CORE', PowerSwitch, QualityBuilder, Remote Tech, [1.0] DE Surgeries, [XND] Turret Extensions, [XND] Targeting Modes, [KV] More Trait Slots - 1.0, Sometimes Raids Go Wrong, AlienFaces, Rimefeller, [KV] Keep Hands & Feet - 1.0, Suppression, [SYR] Doormats, Dubs Skylights, RimBees, [CP] Chicken Mitchell - Facial Stuff Version (1.0), Miniaturisation, Animal Tab, Allow Tool, AnimalCollabProj, Blueprints, Colony Manager, Achtung!, Call of Cthulhu - Factions, Call of Cthulhu - Cosmic Horrors, Bo's Milkable Animals, Better Workbench Management, Centralized Climate Control, Avoid Friendly Fire, Architect Icons, Ancient_Structures, Combat Readiness Check, Follow Me, Expanded Prosthetics and Organ Engineering, Furnace, Fluffy Breakdowns, EdB Prepare Carefully, Faction Discovery, Defensive Positions, Halloween Special Edition, Industrial Age - Objects and Furniture, Industrial Rollers, Grenade Fix: Rearmed, Call of Cthulhu - Cults, HugsLib, Call of Cthulhu - Straitjackets, LED Lights, Camera+, Haul to Stack, Expanded Woodworking for Vegetable Garden Project, GeneticRim Cosmic Horrors Patch, Carcinoma spreads, Giddy-up! Core, Giddy-up! Battle Mounts, Just Ignore Me Passing, Giddy-up! Caravan, Gear Up And Go, Doors Expanded, Dismiss Trader, Giddy-up! Ride and Roll, Deep Ore Identifier, Fuel Economy, Giddy-up! Mechanoids, Deconstruct Return Fix, Misc. MapGenerator, Relations Tab, Medical Tab, Misc. Training, More Trade Ships, Rimsenal - Rimhair, Rimsenal - Storyteller pack, Rimworld Search Agency, RT Fuse, Rimsenal - Security pack, RIMkea, PrisonerRansom, More Mechanoids, Realistic Rooms, Reverse Commands, More Planning, Power Indicators, Rim of Madness - Arachnophobia, MiningCo. Projector, Prepare Landing, Mechanoids Extraordinaire, Locks, RunAndGun, Research Tree, Meals On Wheels, Locks (DoorsExpanded), Pharmacist, Reworked Temperature Extreme Events [1.0], OgreStack, Search and Destroy, More Vanilla Turrets [1.0], Rimsenal Security - Turret Extensions Patch, Mod Manager, RimHUD, More Vanilla Turrets - Turret Extensions Patch, More Harvest Designators!, Reasonable Components V1, Quality Cooldown, More Furniture [1.0], Work Tab, Spoons Hair Mod, RT Solar Flare Shield, Toxic Fallout Protection Suit, Rimsenal - Feral, The Birds and the Bees, Stuffed Floors, WM Smarter food selection, Storage Solutions, Tables+, WeaponStats, Therapy, Shear Those Corpses Vanilla, Shear Those Corpses ACP, Various Space Ship Chunk, [KV] Trading Spot - 1.0, [KV] Path Avoid - 1.0, VGP Garden Medicine, VGP Xtra Trees and Flowers, VGP More Veggies, Stronger Quality Scaling (1.0), Skilled Stonecutting, [1.0] Wild Animal Sex V4.1, Spidercamp's Dog Pack (1.0), Shoo!, Smart Medicine, Snap Out!, Use Bedrolls, Share The Load, ShowModDesignators, Simple Stockpile Presets, Supply and Demand, Weapon Tech - Turret Extensions Patch, Save Our Ship (Reloaded), [1.0] Terrain Zone Selections, Snow Clearance Sanity, Ugh You Got Me, Weapon Tech, While You're Up [1.0], [XND] Visible Pants, [XND] Stuffed Flaks, [XND] Genetically Engineered Plants, [XND] Forbiddable Debris, [XND] Profitable Weapons, [XND] Memorable Auroras, [XND] Proper Shotguns, [SYR] Set Up Camp, [XND] Ranged Stagger Rebalanced, [XND] TE Turret Expansion, [KV] Faction Control - 1.0, [RF] Packed Lunches [1.0], [RF] Permafrost [1.0], Safe Pause, [KV] Improved Load Transport Pods, ModCheck, Death Rattle, Expanded Incidents, Less Arbitrary Surgery, Psychology, Roof Support 1.0, Run and Hide, SF Grim Reality 1.0, Turn It On and Off v1.3 [1.0], EPOE Replacement Expansion, [RF] Editable Backstories and Names [1.0], [RF] Tribal Pawn Names [1.0], Xeva's Rimhair, Advanced Transport Pods, SF Materials Rebalanced, Cosmic Horrors VGP Meal Patch, Cannibal Meals (VGP required), FF_VG_canned_delights, [KV] Hand 'n' Footwear - 1.0, [FSF] Rain Washes Away Filth, Dubs Rimkit, SafeInside, Core
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[LanguageDataCleaner] Removed 31 LoadedLanguages and cleaned 185 DefInjectionPackages.
Removed Languages: Korean_SK, Sample, template, SimplifiedChinese, KoreanFastChannel, Українська, Türkçe, Svenska, Español(Latinoamérica), Español(Castellano), Slovenčina, Русский, Română, Português Brasileiro, Português, Polski, Norsk Bokmål, 한국어, 日本語, Italiano, Magyar, Deutsch, Français, Suomi, Eesti, Nederlands, Dansk, Čeština, 繁體中文, 简体中文, Català
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[RuntimeGC] Detour completed: MuteGC
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
||========================================
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
|| Setting up Editable Backstories (REB)
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
||========================================
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
|| Backstories Added by REB:
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
|| Childhood Backstories (shuffleable): 146
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
|| Childhood Backstories (non-shuffleable): 0
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
|| Adulthood Backstories (shuffleable): 175
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
|| Adulthood Backstories (non-shuffleable): 0
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
||========================================
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
|| Backstories Added by Other Mods:
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
|| Childhood Backstories (shuffleable): 17
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
|| Childhood Backstories (non-shuffleable): 0
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
|| Adulthood Backstories (shuffleable): 29
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
|| Adulthood Backstories (non-shuffleable): 0
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
||========================================
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
|| Names Added by REB:
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
|| First Names (Female): 2508
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
|| First Names (Male): 2305
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
|| Nicknames (Female): 146
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
|| Nicknames (Male): 179
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
|| Nicknames (Unisex): 1542
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
|| First/Nick Sets (Female): 1486
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
|| First/Nick Sets (Male): 1052
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
|| Last Names: 10092
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
|| Full Names (without bios): 45
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
|| Full Names (with bios): 10
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
||========================================
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
|| FilterSet Name Lists:
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
|| FS1 First Names (Female): 146
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
|| FS1 First Names (Male): 140
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
|| FS1 Last Names: 222
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
|| FS2: No Names Defined
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
|| FS3: No Names Defined
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
|| FS4: No Names Defined
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
|| FS5: No Names Defined
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
|| FS6: No Names Defined
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
|| FS7: No Names Defined
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
|| FS8: No Names Defined
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
|| FS9: No Names Defined
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
||========================================
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[PrepareLanding] In constructor.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[HugsLib] initializing GiddyUpCore, BattleMounts, GiddyUpCaravan, GiddyUpRideAndRoll, FactionDiscovery, MapReroll, AllowTool, AvoidFriendlyFire, BetterPawnControl, ImprovedWorkbenches, DeathRattle, DefensivePositions, DualWield, ExpandedIncidents, Autopsy, com.jkluch.HaulToStack, LessArbitrarySurgery, com.github.alandariva.moreplanning, PrepareLanding, Psychology, RunAndGun, RunandHide, ShipInteriorMod, SearchAndDestroy, SimpleSidearms, da_SuppressionMod, Therapy, TurnItOnandOff, WhatTheHack, GiddyUpMechanoids, WhileYoureUp, Smarter_Food_Selection, Hospitality, MoreMechanoids, CentralizedClimateControl, RemoteTech
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[AllowTool] Applied compatibility patch for "Pick Up And Haul"
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[PrepareLanding] Initializing.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[PrepareLanding] Preset folder is at: /home/<redacted>/.config/unity3d/Ludeon Studios/RimWorld by Ludeon Studios/PrepareLanding
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[PrepareLanding] Preset template folder is at: /home/<redacted>/.local/share/Steam/steamapps/workshop/content/294100/1095331978/Presets
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[TurnItOnandOff] Initialized Components
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[TurnItOnandOff] Registered instance
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Injecting guest beds into the following facilities: EndTable, Dresser, VitalsMonitor, ChangeDresser, ChangeMirror, CCP_LEDMedLight, Anon2Dresser, Anon2EndTable, Anon2RoyalEndTable, Anon2EndTableLight, Anon2RoyalEndTableLight, SurgicalLamp, SurgicalInstruments, CP_FootlockerSmall, CP_IVSupport, CP_InstrumentTable, CP_UltrasoundMachine, CP_VitalSigns, CP_MedicalVentilator
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Created guest beds for the following beds: SleepingSpot, DoubleSleepingSpot, Bed, DoubleBed, RoyalBed, HospitalBed, Bedroll, BedrollDouble, TempSleepSpot, SCKRIEPNEPP, CP_MilitaryCotBed, CP_DoubleMilitaryCotBed, CP_M81Bedroll, CP_M81MulticamBedroll, CP_DoubleCamoBedroll, CP_MedicalStretcher, CP_MedicalBed, PILFURBed, SNOREGGSingle, SNOREGGDouble, KRUDNEPPSingle, SLABNEPPDouble
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Combat extended loaded: False
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[PrepareLanding] OnDefsLoaded
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[PrepareLanding] GameOptions.OnDefLoaded().
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Psychology :: Registered Human, ReanimatedCorpse, Alien_Twilek, Alien_Zabrak
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
System.SystemException: Error running gmcs: Cannot find the specified file
at Mono.CSharp.CSharpCodeCompiler.CompileFromFileBatch (System.CodeDom.Compiler.CompilerParameters options, System.String[] fileNames) [0x00000] in <filename unknown>:0
at Mono.CSharp.CSharpCodeCompiler.CompileAssemblyFromFileBatch (System.CodeDom.Compiler.CompilerParameters options, System.String[] fileNames) [0x00000] in <filename unknown>:0
at Mono.CSharp.CSharpCodeCompiler.CompileAssemblyFromFile (System.CodeDom.Compiler.CompilerParameters options, System.String fileName) [0x00000] in <filename unknown>:0
at System.Xml.Serialization.XmlSerializer.GenerateSerializers (System.Xml.Serialization.GenerationBatch batch, System.CodeDom.Compiler.CompilerParameters cp) [0x00000] in <filename unknown>:0
at System.Xml.Serialization.XmlSerializer.RunSerializerGeneration (System.Object obj) [0x00000] in <filename unknown>:0
[TurnItOnandOff] Loaded 0 of 0 mod support defs.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
System.SystemException: Error running gmcs: Cannot find the specified file
at Mono.CSharp.CSharpCodeCompiler.CompileFromFileBatch (System.CodeDom.Compiler.CompilerParameters options, System.String[] fileNames) [0x00000] in <filename unknown>:0
at Mono.CSharp.CSharpCodeCompiler.CompileAssemblyFromFileBatch (System.CodeDom.Compiler.CompilerParameters options, System.String[] fileNames) [0x00000] in <filename unknown>:0
at Mono.CSharp.CSharpCodeCompiler.CompileAssemblyFromFile (System.CodeDom.Compiler.CompilerParameters options, System.String fileName) [0x00000] in <filename unknown>:0
at System.Xml.Serialization.XmlSerializer.GenerateSerializers (System.Xml.Serialization.GenerationBatch batch, System.CodeDom.Compiler.CompilerParameters cp) [0x00000] in <filename unknown>:0
at System.Xml.Serialization.XmlSerializer.RunSerializerGeneration (System.Object obj) [0x00000] in <filename unknown>:0
[Smarter_Food_Selection] Loaded - 942 food categorized - 3298 pawn diets - 1 compatibility patches (1 fixes) - 22 policies.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[RemoteTech] Injected stock generators for 7 traders
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[RemoteTech] Injected 13 alternate explosives recipes.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
static_quality_Injector: _QualityUtility _GenerateQualityCreatedByPawn injected successfully!
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
static_quality_Injector: _SkillRecord_derived _Interval injected successfully!
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
static_quality_Injector: _SkillRecord _Learn injected successfully!
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
static_quality_Injector: _SkillUI _GetSkillDescription injected successfully!
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
static_quality_Injector: _Plant _Resting injected successfully!
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
static_quality_Injector: _QualityUtility _SendCraftNotification injected successfully!
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
static_quality_plus injected.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Apparel Stuff Color detours loaded, hopefully correct
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
KeepHandsFeet trying to relocate hands and feet:
[Monkey] hands and feet moved.
[Human] hands and feet moved.
[Mechsuit] hands and feet moved.
[Biodrone] hands moved.
[AssimilatedHuman] hands and feet moved.
[ROM_CthulhidBody] hands and feet moved.
[ROM_Cults_ByakheeBody] hands and feet moved.
[Twilek_body] hands and feet moved.
[Zabrak_body] hands and feet moved.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[ModCheck] Total time spent patching: 32178.4400 ms
Time spent on each patch:
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
147.9126 ms Giddy-up! Core
24.7150 ms P
13.1178 ms P
14.4257 ms P
13.3844 ms P
13.3754 ms P
34.3514 ms P
34.5429 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
6.9826 ms Giddy-up! Caravan
6.9826 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
8.9660 ms Giddy-up! Ride and Roll
8.9660 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
11.3145 ms Misc. MapGenerator
4.8849 ms P
2.1977 ms P
2.1249 ms P
2.1070 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
64.4672 ms [RF] Realistic Planets [1.0]
2.2312 ms P
2.4687 ms P
2.7006 ms P
2.1750 ms P
2.1407 ms P
2.1549 ms P
2.1146 ms P
2.2284 ms P
2.3240 ms P
2.6566 ms P
2.1885 ms P
2.1724 ms P
2.1388 ms P
2.1938 ms P
2.2274 ms P
2.1777 ms P
2.6774 ms P
2.4532 ms P
2.1733 ms P
2.2003 ms P
2.2332 ms P
3.1509 ms P
3.3185 ms P
3.6045 ms P
3.2313 ms P
3.1313 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
378.0884 ms Animal Variety Coats
12.5520 ms P
4.5750 ms P
12.7359 ms P
4.5593 ms P
12.7120 ms P
4.6308 ms P
12.8495 ms P
4.6593 ms P
183.6870 ms P
5.3537 ms P
12.6430 ms P
4.5706 ms P
12.4635 ms P
5.1468 ms P
12.3356 ms P
4.6172 ms P
12.2244 ms P
4.8136 ms P
12.3593 ms P
4.6454 ms P
12.1365 ms P
4.9994 ms P
12.2784 ms P
4.5402 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
3.1653 ms Animals Logic
3.1653 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
9.3144 ms Better Pawn Control
2.4102 ms P
2.5996 ms P
2.1160 ms P
2.1886 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
5.9045 ms Better Workbench Management
5.9045 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
154.9282 ms Bo's Milkable Animals
25.2861 ms P
25.2823 ms P
25.5881 ms P
25.1886 ms P
26.0066 ms P
2.0473 ms P
25.5292 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
100.9354 ms [KV] Consolidated Traits - 1.0
6.1659 ms P
2.2154 ms P
0.5683 ms P
2.1428 ms P
4.9326 ms P
2.4533 ms P
4.4550 ms P
2.1200 ms P
4.3714 ms P
2.7384 ms P
2.4182 ms P
2.2121 ms P
4.3360 ms P
2.1294 ms P
4.6498 ms P
4.7326 ms P
2.1833 ms P
8.8037 ms P
0.5188 ms P
2.5713 ms P
0.4582 ms P
2.2129 ms P
2.2833 ms P
3.2682 ms P
2.1887 ms P
6.3185 ms P
5.6054 ms P
0.5875 ms P
5.0906 ms P
6.2038 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
35.6590 ms Cyber Fauna 1.0
6.2303 ms P
2.8870 ms P
3.0382 ms P
2.9153 ms P
2.2129 ms P
2.1301 ms P
2.3376 ms P
2.2374 ms P
2.2406 ms P
2.2427 ms P
2.7922 ms P
2.2369 ms P
2.1578 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
17.5181 ms [1.0] DE Surgeries
2.8904 ms P
2.3660 ms P
2.2790 ms P
2.4050 ms P
2.7929 ms P
2.4058 ms P
2.3790 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
12.0877 ms Dual Wield
12.0877 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
83.3552 ms Facial Stuff 1.0
38.8563 ms P
3.7931 ms P
37.8544 ms P
2.8514 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
99.0403 ms AlienFaces
7.9570 ms P
6.5413 ms P
6.4808 ms P
6.2919 ms P
6.9475 ms P
6.2843 ms P
6.4958 ms P
6.5415 ms P
6.1975 ms P
6.8347 ms P
6.2788 ms P
6.1884 ms P
7.2178 ms P
5.9512 ms P
6.8318 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
27.2394 ms [XND] Forbiddable Debris
27.2394 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
7.1339 ms Gear Up And Go
7.1339 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
5.6244 ms Hardworking animals 1.0
3.4529 ms P
2.1715 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
50.6913 ms Harvest Everything!
1.7044 ms P
5.1757 ms P
5.9473 ms P
5.1980 ms P
5.2115 ms P
5.7849 ms P
5.1541 ms P
5.4744 ms P
5.8238 ms P
5.2172 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
10.5795 ms Harvest Organs Post Mortem - 4.1 [1.0]
4.8639 ms P
5.7156 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
10.7316 ms [SYR] Harvest Yield Patch
4.3665 ms P
6.3651 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
6.2072 ms [KV] Improved Load Transport Pods
3.4849 ms P
2.7223 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
118.9095 ms In-wall coolers and vents [1.0]
19.2258 ms P
19.7780 ms P
19.9816 ms P
20.1002 ms P
20.0123 ms P
19.8116 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
6.7669 ms [XND] Memorable Auroras
3.7150 ms P
3.0519 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
483.3061 ms Miniaturisation
26.1090 ms P
26.3086 ms P
26.4756 ms P
2.4034 ms P
1.6015 ms P
1.8169 ms P
25.6968 ms P
26.4328 ms P
26.3633 ms P
0.8360 ms P
0.5029 ms P
1.2971 ms P
79.8541 ms P
26.4195 ms P
26.2126 ms P
26.4222 ms P
26.3176 ms P
26.4492 ms P
26.6086 ms P
26.3565 ms P
26.3404 ms P
26.4815 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
8.0850 ms More Harvest Designators!
3.6907 ms P
2.2349 ms P
2.1594 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
2.1613 ms [RF] Pawns are Capable! [1.0]
2.1613 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
11.8922 ms Pick Up And Haul
11.8922 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
13.1862 ms PowerSwitch
13.1862 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
3.8741 ms Prepare Landing
3.8741 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
667.5153 ms [XND] Proper Shotguns
49.3252 ms P
342.0993 ms P
12.8848 ms P
12.6614 ms P
19.4707 ms P
2.4949 ms P
2.4634 ms P
26.6197 ms P
52.2064 ms P
95.8166 ms P
51.4729 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
281.6404 ms Psychology
12.1970 ms P
0.7218 ms P
3.3759 ms P
2.3035 ms P
2.1949 ms P
2.1236 ms P
2.2346 ms P
2.2670 ms P
2.6621 ms P
2.5637 ms P
2.1132 ms P
2.0854 ms P
2.0562 ms P
2.0429 ms P
2.1245 ms P
3.4204 ms P
2.7479 ms P
2.5938 ms P
2.5953 ms P
2.5185 ms P
2.8255 ms P
3.4047 ms P
3.2149 ms P
3.1484 ms P
3.3067 ms P
3.0895 ms P
3.7069 ms P
3.2683 ms P
2.6243 ms P
2.6707 ms P
3.1740 ms P
3.5383 ms P
2.8928 ms P
2.7210 ms P
2.6631 ms P
2.5908 ms P
2.5185 ms P
3.0333 ms P
3.1538 ms P
2.6877 ms P
2.6796 ms P
5.1509 ms P
6.0538 ms P
5.0895 ms P
5.4887 ms P
5.9176 ms P
5.2767 ms P
5.3174 ms P
0.4892 ms P
0.4918 ms P
0.6334 ms P
0.4683 ms P
0.4616 ms P
0.5851 ms P
6.0715 ms P
5.3519 ms P
0.6092 ms P
0.5222 ms P
5.9376 ms P
5.5876 ms P
8.6763 ms P
8.9420 ms P
7.2484 ms P
6.2163 ms P
5.5480 ms P
2.2820 ms P
2.4758 ms P
2.5374 ms P
2.1898 ms P
2.6039 ms P
2.2586 ms P
2.1746 ms P
2.1871 ms P
2.3011 ms P
4.6334 ms P
4.3924 ms P
4.1737 ms P
4.7723 ms P
0.5122 ms P
4.5276 ms P
4.2938 ms P
4.2032 ms P
4.9520 ms P
2.1795 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
4.6526 ms Quality Cooldown
2.3386 ms P
2.3140 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
5.9121 ms [FSF] Rain Washes Away Filth
5.9121 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
223.1505 ms [XND] Ranged Stagger Rebalanced
12.7427 ms P
12.8073 ms P
12.8749 ms P
12.8072 ms P
12.6474 ms P
12.7983 ms P
12.7741 ms P
12.7347 ms P
12.6316 ms P
12.8214 ms P
12.7258 ms P
5.2851 ms P
58.1504 ms P
19.3496 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
2791.7080 ms Reasonable Components V1
738.6016 ms P
572.1013 ms P
741.6441 ms P
739.3607 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
29.8700 ms Replace Stuff
13.3643 ms P
12.7529 ms P
3.7528 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
15.5308 ms RIMMSqol
3.1478 ms P
12.3830 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
11.9760 ms [1.0] RPG Style Inventory
11.9760 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
12.1616 ms RunAndGun
12.1616 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
5953.9580 ms Save Our Ship (Reloaded)
566.3725 ms P
747.0503 ms P
750.8741 ms P
528.9880 ms P
753.2167 ms P
573.6281 ms P
750.4728 ms P
752.7161 ms P
530.6396 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
21.9977 ms [RF] Scenarios [1.0]
4.0421 ms P
3.0304 ms P
3.7987 ms P
3.3254 ms P
2.6170 ms P
2.5466 ms P
2.6375 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
5.1093 ms Search and Destroy
2.2403 ms P
2.8690 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
1475.8880 ms SF Grim Reality 1.0
2.4303 ms P
2.2250 ms P
2.6275 ms P
2.6286 ms P
2.7632 ms P
3.0020 ms P
3.0325 ms P
2.6744 ms P
2.9395 ms P
2.8101 ms P
2.6367 ms P
3.1978 ms P
3.0633 ms P
2.7409 ms P
2.5782 ms P
2.5675 ms P
2.5760 ms P
3.1876 ms P
3.0272 ms P
2.6424 ms P
2.5549 ms P
2.5543 ms P
2.6632 ms P
6.0857 ms P
2.6113 ms P
2.5745 ms P
2.7580 ms P
2.7630 ms P
3.2657 ms P
5.4194 ms P
2.6752 ms P
2.6779 ms P
5.7684 ms P
2.7515 ms P
2.7573 ms P
5.1769 ms P
2.5726 ms P
3.2019 ms P
5.5706 ms P
2.5852 ms P
2.7223 ms P
6.0011 ms P
2.8214 ms P
2.5998 ms P
4.9900 ms P
2.7443 ms P
3.2732 ms P
5.3431 ms P
2.5446 ms P
2.6933 ms P
5.8914 ms P
2.7447 ms P
2.6427 ms P
5.4880 ms P
2.6814 ms P
3.2727 ms P
5.6252 ms P
2.6275 ms P
2.6081 ms P
6.1716 ms P
2.8940 ms P
2.6547 ms P
5.1117 ms P
2.8823 ms P
3.4344 ms P
5.2433 ms P
2.5784 ms P
2.8651 ms P
6.3593 ms P
2.6522 ms P
2.5698 ms P
5.3765 ms P
2.9941 ms P
3.0231 ms P
5.3247 ms P
2.6593 ms P
2.6775 ms P
6.0049 ms P
2.7662 ms P
2.6465 ms P
5.1805 ms P
3.2838 ms P
3.2563 ms P
5.2282 ms P
2.5509 ms P
2.6022 ms P
6.1360 ms P
2.6258 ms P
2.6229 ms P
2.6851 ms P
2.7116 ms P
3.2312 ms P
2.9649 ms P
2.6225 ms P
2.6675 ms P
2.7370 ms P
2.8447 ms P
3.4054 ms P
2.7900 ms P
2.7910 ms P
2.6485 ms P
2.5501 ms P
2.7208 ms P
3.3161 ms P
2.8830 ms P
2.7094 ms P
2.6105 ms P
2.5855 ms P
2.7764 ms P
3.3688 ms P
2.7198 ms P
2.6152 ms P
2.5589 ms P
2.5888 ms P
3.0835 ms P
3.2984 ms P
2.6994 ms P
2.5911 ms P
2.5935 ms P
2.7550 ms P
3.1980 ms P
3.1704 ms P
2.6687 ms P
2.6723 ms P
2.7198 ms P
2.6804 ms P
3.0645 ms P
3.0156 ms P
2.7309 ms P
2.6977 ms P
2.5957 ms P
2.6725 ms P
3.7556 ms P
3.1730 ms P
2.7245 ms P
2.6122 ms P
2.5164 ms P
2.6141 ms P
3.4155 ms P
2.7871 ms P
2.5562 ms P
2.6080 ms P
2.6483 ms P
2.8389 ms P
3.3032 ms P
2.7568 ms P
2.5966 ms P
2.6063 ms P
2.7476 ms P
2.8380 ms P
3.3679 ms P
2.7042 ms P
2.7698 ms P
2.8370 ms P
2.5796 ms P
2.8064 ms P
3.1852 ms P
2.8898 ms P
2.6540 ms P
2.5339 ms P
2.5951 ms P
2.8160 ms P
3.2743 ms P
2.8062 ms P
2.6881 ms P
2.5513 ms P
2.5174 ms P
3.2478 ms P
3.3511 ms P
2.7014 ms P
2.6205 ms P
2.6804 ms P
2.6919 ms P
3.2416 ms P
3.0130 ms P
2.6132 ms P
2.6449 ms P
2.6963 ms P
2.7243 ms P
3.2436 ms P
2.9035 ms P
2.7973 ms P
2.6866 ms P
2.6203 ms P
2.6225 ms P
3.3437 ms P
3.0509 ms P
2.6348 ms P
2.5648 ms P
2.5420 ms P
2.5231 ms P
3.3655 ms P
2.8833 ms P
2.6556 ms P
2.5900 ms P
2.5625 ms P
2.7574 ms P
3.3004 ms P
2.6798 ms P
2.6002 ms P
2.6103 ms P
2.7332 ms P
2.7449 ms P
3.3947 ms P
2.8120 ms P
2.6985 ms P
2.7039 ms P
2.5992 ms P
2.7609 ms P
3.2705 ms P
2.7889 ms P
2.7042 ms P
2.6039 ms P
2.5834 ms P
2.7415 ms P
3.3290 ms P
2.7567 ms P
2.6310 ms P
2.5591 ms P
2.5404 ms P
2.8345 ms P
3.4113 ms P
2.7037 ms P
2.5770 ms P
2.6196 ms P
2.6467 ms P
3.0509 ms P
3.1537 ms P
2.6729 ms P
2.5850 ms P
2.6491 ms P
2.6831 ms P
2.9611 ms P
3.1369 ms P
2.6528 ms P
2.7315 ms P
2.6041 ms P
2.5872 ms P
2.8966 ms P
3.1817 ms P
2.8751 ms P
2.6188 ms P
2.5858 ms P
2.5572 ms P
3.0157 ms P
3.1004 ms P
2.6873 ms P
2.5611 ms P
2.6178 ms P
2.6405 ms P
3.2401 ms P
3.0782 ms P
2.6156 ms P
2.5660 ms P
2.6727 ms P
5.8927 ms P
3.0558 ms P
2.6925 ms P
2.6838 ms P
2.7401 ms P
2.5607 ms P
3.1185 ms P
2.9333 ms P
2.7203 ms P
2.6947 ms P
2.5383 ms P
2.5275 ms P
3.0458 ms P
3.0800 ms P
2.7763 ms P
0.5488 ms P
2.5468 ms P
2.6253 ms P
6.0811 ms P
2.7857 ms P
2.6036 ms P
2.5189 ms P
2.6101 ms P
7.0480 ms P
4.5210 ms P
4.5177 ms P
4.5675 ms P
8.4264 ms P
3.2650 ms P
2.7588 ms P
3.3404 ms P
3.2036 ms P
5.4972 ms P
2.5980 ms P
2.6994 ms P
3.4950 ms P
5.4801 ms P
2.5774 ms P
2.5454 ms P
2.6994 ms P
3.3762 ms P
0.6162 ms P
2.7380 ms P
2.6112 ms P
2.6217 ms P
5.8605 ms P
3.0587 ms P
2.7433 ms P
2.6954 ms P
2.7557 ms P
5.6492 ms P
2.9576 ms P
2.6625 ms P
2.7417 ms P
5.1258 ms P
2.9028 ms P
3.0515 ms P
2.7868 ms P
5.2572 ms P
2.5964 ms P
3.0712 ms P
3.1030 ms P
6.3161 ms P
2.6980 ms P
2.8554 ms P
3.3243 ms P
2.7396 ms P
5.2235 ms P
2.7303 ms P
2.8809 ms P
3.1918 ms P
2.7226 ms P
5.3332 ms P
2.6807 ms P
5.9073 ms P
2.7452 ms P
5.5691 ms P
2.6051 ms P
2.8855 ms P
3.1897 ms P
2.8460 ms P
2.6751 ms P
2.5659 ms P
5.4125 ms P
3.1867 ms P
2.6745 ms P
2.5792 ms P
5.2562 ms P
3.0863 ms P
3.1106 ms P
5.3958 ms P
2.7116 ms P
2.6624 ms P
3.0218 ms P
5.5556 ms P
2.6505 ms P
2.6725 ms P
5.4482 ms P
2.9631 ms P
2.8437 ms P
2.6875 ms P
5.1798 ms P
3.0012 ms P
3.0998 ms P
5.3415 ms P
2.5776 ms P
2.5437 ms P
6.1382 ms P
2.6665 ms P
2.5611 ms P
5.3111 ms P
2.9928 ms P
2.9644 ms P
5.3903 ms P
2.7602 ms P
2.6543 ms P
5.9412 ms P
2.7421 ms P
2.8062 ms P
5.2578 ms P
3.0936 ms P
3.0637 ms P
2.8396 ms P
5.2880 ms P
2.6071 ms P
3.3560 ms P
2.9612 ms P
2.6502 ms P
2.6214 ms P
2.5739 ms P
2.7300 ms P
3.3685 ms P
2.7740 ms P
2.6477 ms P
2.6941 ms P
2.8261 ms P
2.8514 ms P
3.2011 ms P
2.7576 ms P
2.6811 ms P
2.7293 ms P
2.6247 ms P
2.8610 ms P
3.1290 ms P
2.8518 ms P
2.8312 ms P
2.6433 ms P
2.5718 ms P
2.9461 ms P
3.1848 ms P
2.7952 ms P
2.6653 ms P
2.6647 ms P
2.6063 ms P
3.1354 ms P
3.1305 ms P
2.6855 ms P
2.5769 ms P
2.5853 ms P
2.6957 ms P
3.1199 ms P
2.9575 ms P
2.7227 ms P
2.6699 ms P
2.7226 ms P
2.6674 ms P
3.1091 ms P
2.8860 ms P
2.6994 ms P
2.7521 ms P
2.7752 ms P
2.6807 ms P
3.2082 ms P
2.9131 ms P
2.8066 ms P
2.6482 ms P
2.5794 ms P
2.6138 ms P
3.3280 ms P
2.8815 ms P
2.7372 ms P
2.6475 ms P
2.5602 ms P
2.7901 ms P
3.3251 ms P
0.6496 ms P
2.6616 ms P
2.6703 ms P
5.4578 ms P
2.9974 ms P
0.7495 ms P
2.9145 ms P
2.7216 ms P
2.7172 ms P
2.7587 ms P
2.5984 ms P
3.1987 ms P
2.9447 ms P
2.8293 ms P
2.7666 ms P
2.5566 ms P
2.5279 ms P
3.2174 ms P
5.6297 ms P
2.6005 ms P
5.2379 ms P
3.3319 ms P
2.9406 ms P
2.7056 ms P
2.5881 ms P
2.6132 ms P
2.8694 ms P
3.2882 ms P
2.8059 ms P
2.9962 ms P
2.9532 ms P
2.7320 ms P
2.9089 ms P
3.1038 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
204.4330 ms SF Materials Rebalanced
12.0569 ms P
12.8513 ms P
12.9008 ms P
12.7439 ms P
12.7737 ms P
12.8009 ms P
12.8804 ms P
12.8285 ms P
12.8027 ms P
12.6941 ms P
13.0939 ms P
12.7691 ms P
12.8301 ms P
12.8232 ms P
12.8144 ms P
12.7691 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
14.8263 ms Shear Those Corpses Vanilla
4.5107 ms P
3.6404 ms P
3.6012 ms P
3.0740 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
19.1687 ms Shear Those Corpses ACP
3.0582 ms P
3.0468 ms P
3.1481 ms P
3.5455 ms P
3.0818 ms P
3.2883 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
2.2374 ms Shoo!
2.2374 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
8.5528 ms Skilled Stonecutting
2.6459 ms P
3.1409 ms P
2.7660 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
7.5811 ms Smart Medicine
2.6001 ms P
2.1815 ms P
2.7995 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
2.0974 ms Snap Out!
2.0974 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
409.0953 ms [XND] Stuffed Flaks
2.2087 ms P
25.3625 ms P
19.2643 ms P
188.8320 ms P
26.3241 ms P
25.8966 ms P
25.7211 ms P
19.1662 ms P
12.7846 ms P
25.3861 ms P
12.6976 ms P
12.7077 ms P
12.7438 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
15.5579 ms [XND] Targeting Modes
3.7568 ms P
0.3606 ms P
11.4405 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
10.2685 ms [1.0] Terrain Zone Selections
3.7641 ms P
2.2297 ms P
2.1736 ms P
2.1011 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
35.8866 ms [XND] Turret Extensions
2.0651 ms P
5.6349 ms P
2.4292 ms P
2.3044 ms P
4.5091 ms P
3.2852 ms P
5.7201 ms P
2.7078 ms P
4.8582 ms P
2.3726 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
50.7401 ms [XND] TE Turret Expansion
12.3963 ms P
12.8413 ms P
12.7703 ms P
12.7322 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
47.9897 ms Use Bedrolls
3.9369 ms P
18.5897 ms P
12.7793 ms P
12.6838 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
777.4840 ms Vanilla Animals Overhaul Reloaded
26.3729 ms P
18.1248 ms P
4.2779 ms P
4.4218 ms P
2.7521 ms P
2.2010 ms P
2.1831 ms P
2.1836 ms P
2.1483 ms P
2.1196 ms P
2.4077 ms P
2.4639 ms P
2.1711 ms P
2.3018 ms P
2.1755 ms P
2.0684 ms P
2.1612 ms P
2.1716 ms P
12.4031 ms P
12.8910 ms P
12.7176 ms P
12.7182 ms P
12.6870 ms P
12.9138 ms P
12.8484 ms P
12.7206 ms P
13.0636 ms P
12.7969 ms P
12.7586 ms P
12.7178 ms P
12.8777 ms P
12.6595 ms P
12.7609 ms P
12.6949 ms P
12.6641 ms P
12.6913 ms P
12.7791 ms P
12.7529 ms P
7.1301 ms P
6.9817 ms P
12.6714 ms P
12.8138 ms P
12.7884 ms P
12.6725 ms P
12.6728 ms P
12.7222 ms P
12.9592 ms P
12.8020 ms P
12.6959 ms P
12.8798 ms P
12.8707 ms P
12.7327 ms P
12.7582 ms P
12.7626 ms P
13.0182 ms P
5.0310 ms P
4.9748 ms P
12.9863 ms P
4.6341 ms P
12.3457 ms P
12.8809 ms P
12.7187 ms P
4.8316 ms P
14.4294 ms P
12.8932 ms P
4.7146 ms P
12.5888 ms P
4.6587 ms P
12.6325 ms P
4.8582 ms P
13.7924 ms P
12.8246 ms P
12.9298 ms P
12.8647 ms P
12.8085 ms P
4.0483 ms P
2.5051 ms P
2.4945 ms P
2.5598 ms P
2.4363 ms P
2.7387 ms P
2.8742 ms P
2.4722 ms P
2.5654 ms P
2.4351 ms P
2.2004 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
50.4576 ms [XND] Visible Pants
12.1292 ms P
12.7497 ms P
12.7462 ms P
12.8325 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
12.8804 ms [XND] Watermill Tweaks
12.8804 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
34.8184 ms Weapon Tech
4.5331 ms P
6.8379 ms P
23.4474 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
64.2560 ms Weapon Tech - Turret Extensions Patch
6.1218 ms P
6.8524 ms P
12.6641 ms P
12.8567 ms P
12.7630 ms P
12.9980 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
118.0103 ms What the hack?!
7.2532 ms P
12.8200 ms P
12.7743 ms P
12.9122 ms P
12.8030 ms P
12.3656 ms P
13.1099 ms P
12.7690 ms P
12.7528 ms P
3.6577 ms P
2.2987 ms P
2.4939 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
215.0619 ms Giddy-up! Mechanoids
12.0636 ms P
12.7513 ms P
190.2470 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
102.7259 ms [1.0] Wild Animal Sex V4.1
13.1851 ms P
12.9784 ms P
12.7678 ms P
12.7869 ms P
12.7103 ms P
12.8547 ms P
12.7443 ms P
12.6984 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
208.8442 ms [RF] Wild Cultivation [1.0]
3.8595 ms P
2.6278 ms P
2.1666 ms P
2.2335 ms P
12.0551 ms P
12.7422 ms P
12.7750 ms P
12.7485 ms P
12.8082 ms P
12.7557 ms P
12.7020 ms P
12.6876 ms P
12.7478 ms P
12.6968 ms P
12.6986 ms P
3.7558 ms P
2.2569 ms P
2.0504 ms P
2.1144 ms P
2.0097 ms P
2.0259 ms P
2.1647 ms P
2.3237 ms P
2.3742 ms P
24.6837 ms P
12.7799 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
12.7369 ms WM Smarter food selection
12.7369 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
197.1642 ms Let's Trade! [1.0]
4.2758 ms P
2.8061 ms P
12.0864 ms P
12.7817 ms P
12.6253 ms P
12.7851 ms P
12.8484 ms P
12.7287 ms P
12.7510 ms P
12.6491 ms P
12.6897 ms P
12.6638 ms P
12.6438 ms P
12.6783 ms P
12.7237 ms P
12.7898 ms P
12.6375 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
82.5203 ms The Rock Trade [1.0]
3.7311 ms P
2.1696 ms P
3.1447 ms P
3.4679 ms P
6.6338 ms P
7.6293 ms P
6.7608 ms P
6.7621 ms P
6.2809 ms P
6.8275 ms P
2.5491 ms P
2.4296 ms P
14.1968 ms P
2.5062 ms P
2.4387 ms P
2.4849 ms P
2.5073 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
7.8014 ms More Trade Ships
3.5183 ms P
2.2841 ms P
1.9990 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
7.5173 ms [XND] Profitable Weapons
4.8476 ms P
2.6697 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
11.5159 ms More Faction Interaction
2.4987 ms P
4.2253 ms P
0.5685 ms P
4.2234 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
3.4708 ms RimQuest
3.4708 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
42.3935 ms Mechanoids Extraordinaire
5.1147 ms P
37.2788 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
6.0614 ms More Mechanoids
3.6437 ms P
2.4177 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
126.5380 ms Alpha Animals
0.8142 ms P
46.4959 ms P
25.1227 ms P
3.6043 ms P
50.5009 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
68.4612 ms [RF] Advanced Bridges [1.0]
6.1533 ms P
2.6387 ms P
5.4743 ms P
2.3035 ms P
0.3542 ms P
2.2394 ms P
2.2862 ms P
5.6414 ms P
2.4683 ms P
4.5115 ms P
2.3377 ms P
0.3592 ms P
2.3903 ms P
5.4440 ms P
2.3739 ms P
2.2479 ms P
2.3598 ms P
2.3735 ms P
2.2384 ms P
2.4917 ms P
2.6923 ms P
2.3456 ms P
2.3935 ms P
2.3426 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
50.5823 ms Ancient_Structures
24.8918 ms P
16.1243 ms P
9.5662 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
52.6947 ms Apparello 2
12.2755 ms P
1.4143 ms P
0.9819 ms P
10.1619 ms P
8.1713 ms P
8.6781 ms P
7.9483 ms P
3.0634 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
14.2099 ms Call of Cthulhu - Cosmic Horrors
1.3346 ms P
12.8753 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
27.6229 ms Call of Cthulhu - Cults
25.7772 ms P
0.9509 ms P
0.8948 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
419.7851 ms [1.0] Combat Shields
15.2205 ms P
12.8083 ms P
12.5987 ms P
15.1074 ms P
15.1972 ms P
7.1961 ms P
12.5849 ms P
15.2591 ms P
68.9272 ms P
64.8300 ms P
39.6247 ms P
140.4310 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
134.5840 ms [RF] Concrete [1.0]
7.3385 ms P
4.7782 ms P
12.0196 ms P
25.5890 ms P
25.5367 ms P
5.8726 ms P
4.9152 ms P
5.6871 ms P
5.2697 ms P
10.5789 ms P
10.2221 ms P
3.0760 ms P
2.7382 ms P
2.2216 ms P
2.3085 ms P
2.1726 ms P
2.1354 ms P
2.1241 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
228.5026 ms [KV] Change Dresser - 1.0
12.3388 ms P
12.7581 ms P
190.1490 ms P
13.2567 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
28.3198 ms [1.0] Defenses Expanded
2.5472 ms P
13.9010 ms P
2.5470 ms P
2.4363 ms P
6.8883 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
17.7103 ms Dubs Bad Hygiene
4.6189 ms P
3.0008 ms P
2.3045 ms P
7.7861 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
38.1361 ms EPOE Replacement Expansion
12.4981 ms P
12.8822 ms P
12.7558 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
840.1497 ms Expanded Woodworking
25.4834 ms P
38.5670 ms P
51.0771 ms P
38.3197 ms P
1.2980 ms P
12.7564 ms P
11.7579 ms P
5.8490 ms P
4.5078 ms P
5.0963 ms P
5.3164 ms P
30.1926 ms P
38.1012 ms P
78.8469 ms P
25.3788 ms P
37.8566 ms P
0.9041 ms P
50.5753 ms P
26.3834 ms P
0.9402 ms P
12.8226 ms P
0.9023 ms P
12.7677 ms P
12.8015 ms P
12.6824 ms P
25.5576 ms P
26.2608 ms P
0.9553 ms P
13.7251 ms P
12.7115 ms P
36.9694 ms P
51.1001 ms P
40.1611 ms P
0.9595 ms P
0.8749 ms P
0.8736 ms P
0.8851 ms P
0.8821 ms P
0.9088 ms P
0.9009 ms P
0.9204 ms P
0.8997 ms P
0.9032 ms P
0.9014 ms P
16.1109 ms P
18.1116 ms P
18.5413 ms P
0.9651 ms P
0.9006 ms P
0.8961 ms P
0.9071 ms P
0.9035 ms P
0.9025 ms P
0.9080 ms P
0.8740 ms P
13.4790 ms P
0.9487 ms P
0.8750 ms P
0.8987 ms P
0.8946 ms P
0.8919 ms P
0.9019 ms P
0.9011 ms P
0.9095 ms P
0.8935 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
1094.4660 ms [RF] Fertile Fields [1.0]
4.0591 ms P
12.3331 ms P
12.7039 ms P
3.7470 ms P
2.5040 ms P
3.1462 ms P
2.4958 ms P
2.2632 ms P
2.2449 ms P
2.2491 ms P
2.2918 ms P
2.8522 ms P
2.7058 ms P
2.3459 ms P
2.2726 ms P
2.2535 ms P
2.3123 ms P
2.4927 ms P
2.9930 ms P
2.4996 ms P
2.3351 ms P
2.3409 ms P
4.5810 ms P
5.2098 ms P
5.4687 ms P
5.0256 ms P
10.6126 ms P
9.9407 ms P
12.4793 ms P
25.4458 ms P
25.5073 ms P
25.5530 ms P
12.8207 ms P
12.6680 ms P
25.4854 ms P
12.8258 ms P
7.1599 ms P
6.6651 ms P
12.6200 ms P
12.7502 ms P
12.8079 ms P
12.8317 ms P
12.6907 ms P
12.8278 ms P
12.8950 ms P
12.7574 ms P
12.8254 ms P
12.8260 ms P
12.8680 ms P
12.7570 ms P
12.6442 ms P
12.7121 ms P
12.9053 ms P
12.8645 ms P
12.7004 ms P
6.0070 ms P
5.0309 ms P
3.3073 ms P
3.0846 ms P
2.5148 ms P
2.3268 ms P
2.2588 ms P
2.2439 ms P
2.3912 ms P
3.0356 ms P
2.4711 ms P
2.3480 ms P
0.3527 ms P
2.3215 ms P
3.0089 ms P
0.6009 ms P
3.9770 ms P
0.6538 ms P
3.9315 ms P
0.5716 ms P
4.0297 ms P
0.6492 ms P
3.9558 ms P
0.6063 ms P
3.9283 ms P
0.6008 ms P
3.1513 ms P
2.7930 ms P
2.2224 ms P
2.0806 ms P
2.0855 ms P
2.8103 ms P
2.4984 ms P
2.2281 ms P
2.1249 ms P
4.5506 ms P
5.2292 ms P
5.5241 ms P
4.9290 ms P
5.1856 ms P
5.5125 ms P
6.5988 ms P
12.7089 ms P
203.7567 ms P
25.6224 ms P
25.7199 ms P
25.6491 ms P
25.7743 ms P
25.5164 ms P
4.2568 ms P
4.9024 ms P
4.6742 ms P
5.1351 ms P
5.4297 ms P
5.0386 ms P
5.5778 ms P
5.0367 ms P
2.9650 ms P
2.8026 ms P
2.6558 ms P
2.8736 ms P
2.8716 ms P
2.5900 ms P
2.5013 ms P
2.4922 ms P
2.7177 ms P
3.0980 ms P
12.6693 ms P
12.9572 ms P
12.8751 ms P
12.7326 ms P
2.9588 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
11.9510 ms Fertile Fields Dub's Hygiene Compat
11.9510 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
5.0011 ms FishIndustry
3.6948 ms P
1.3063 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
197.8658 ms Genetic Rim
0.0058 ms P
54.0773 ms P
73.7704 ms P
5.4755 ms P
2.7344 ms P
2.1063 ms P
10.3864 ms P
10.2031 ms P
0.0061 ms P
39.1005 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
53.2175 ms GeneticRim Cosmic Horrors Patch
0.0050 ms P
2.8183 ms P
2.7445 ms P
2.6488 ms P
2.6495 ms P
3.3866 ms P
2.9874 ms P
2.7375 ms P
2.5527 ms P
2.5802 ms P
2.7169 ms P
3.3919 ms P
2.7152 ms P
2.5996 ms P
2.5786 ms P
2.6304 ms P
2.8776 ms P
3.2661 ms P
2.7328 ms P
2.5979 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
76.0019 ms LED Lights
12.2640 ms P
12.7341 ms P
12.7314 ms P
12.7573 ms P
12.8309 ms P
12.6842 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
12.6846 ms Mass Graves
12.6846 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
140.1448 ms More Furniture [1.0]
12.7952 ms P
12.7681 ms P
12.8008 ms P
12.6207 ms P
12.6562 ms P
12.8818 ms P
12.8701 ms P
12.7331 ms P
12.5511 ms P
12.7735 ms P
12.6942 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
2079.6630 ms More Linkables
12.7220 ms P
12.6965 ms P
12.7348 ms P
12.7228 ms P
12.6722 ms P
12.5637 ms P
12.9219 ms P
12.8972 ms P
12.6603 ms P
12.6776 ms P
12.8113 ms P
12.6943 ms P
12.7509 ms P
12.7720 ms P
12.7078 ms P
12.8722 ms P
12.8818 ms P
12.6933 ms P
12.6634 ms P
12.9529 ms P
25.4685 ms P
12.6829 ms P
12.6105 ms P
12.7669 ms P
12.7447 ms P
12.7283 ms P
12.6478 ms P
12.7106 ms P
12.8649 ms P
12.8178 ms P
12.6792 ms P
12.7293 ms P
12.7379 ms P
12.7844 ms P
12.7280 ms P
12.7576 ms P
12.7793 ms P
12.7235 ms P
12.6470 ms P
12.6238 ms P
12.8271 ms P
12.7519 ms P
12.7310 ms P
12.6856 ms P
12.6969 ms P
12.7824 ms P
12.6995 ms P
12.6614 ms P
12.7829 ms P
12.9674 ms P
12.7578 ms P
12.7049 ms P
12.6321 ms P
12.8596 ms P
12.9060 ms P
12.7572 ms P
12.7738 ms P
12.7974 ms P
191.7797 ms P
13.1454 ms P
13.6622 ms P
13.0224 ms P
12.8149 ms P
12.9003 ms P
12.8615 ms P
12.8348 ms P
12.6561 ms P
12.7131 ms P
12.7198 ms P
12.6558 ms P
12.8188 ms P
12.6775 ms P
12.6895 ms P
12.7535 ms P
12.7587 ms P
12.7607 ms P
12.7641 ms P
12.7666 ms P
12.7528 ms P
12.7074 ms P
12.7376 ms P
12.6924 ms P
12.7804 ms P
12.6947 ms P
12.8514 ms P
12.7433 ms P
12.9109 ms P
12.8432 ms P
12.7418 ms P
12.6696 ms P
12.7782 ms P
12.7739 ms P
12.6983 ms P
12.7716 ms P
12.6969 ms P
3.7258 ms P
2.2580 ms P
2.2846 ms P
2.9609 ms P
11.9685 ms P
12.7575 ms P
12.7072 ms P
12.7055 ms P
12.6038 ms P
12.6807 ms P
12.7222 ms P
12.6755 ms P
12.7340 ms P
12.7416 ms P
12.9748 ms P
12.7653 ms P
12.6900 ms P
12.6652 ms P
12.8228 ms P
12.8111 ms P
12.7196 ms P
12.5554 ms P
12.7846 ms P
12.7411 ms P
12.8165 ms P
12.6825 ms P
12.7099 ms P
14.0394 ms P
12.7585 ms P
12.7638 ms P
12.6465 ms P
12.8018 ms P
12.7133 ms P
12.7244 ms P
12.6536 ms P
12.8016 ms P
12.8791 ms P
12.7775 ms P
12.8310 ms P
12.7306 ms P
12.6978 ms P
12.8608 ms P
12.7896 ms P
12.6042 ms P
12.8995 ms P
12.7930 ms P
12.7367 ms P
12.6917 ms P
12.6676 ms P
12.7287 ms P
13.2576 ms P
12.7289 ms P
12.6487 ms P
12.8349 ms P
12.8635 ms P
12.7974 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
444.1093 ms More Vanilla Turrets - Turret Extensions Patch
19.2669 ms P
19.1979 ms P
58.1154 ms P
12.6303 ms P
12.8167 ms P
12.9235 ms P
12.7695 ms P
12.7297 ms P
12.8705 ms P
12.8383 ms P
12.7467 ms P
12.7397 ms P
12.7867 ms P
13.0135 ms P
193.3712 ms P
13.2928 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
3.5309 ms Quarry 1.0
3.5309 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
5.6979 ms [CP] Red Horse Furniture (1.0)
2.8438 ms P
2.8541 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
22.6986 ms RimBees
2.3439 ms P
2.2098 ms P
2.1292 ms P
2.1565 ms P
2.2706 ms P
2.6300 ms P
2.5200 ms P
2.1566 ms P
2.1095 ms P
2.1725 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
65.6081 ms RIMkea
2.4617 ms P
12.2037 ms P
12.8035 ms P
12.7056 ms P
12.6938 ms P
12.7398 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
103.8621 ms Rim of Madness - Arachnophobia
5.0200 ms P
5.1316 ms P
4.9460 ms P
4.9218 ms P
4.9830 ms P
4.9146 ms P
4.9166 ms P
4.9915 ms P
4.9578 ms P
4.9786 ms P
4.8690 ms P
5.0434 ms P
4.8945 ms P
4.8599 ms P
4.9445 ms P
4.9276 ms P
4.8628 ms P
4.9803 ms P
4.9753 ms P
4.8288 ms P
4.9145 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
145.9496 ms Rim of Madness - Bones
12.8096 ms P
12.7479 ms P
12.7138 ms P
12.5891 ms P
12.7675 ms P
12.8481 ms P
12.8009 ms P
12.6859 ms P
12.6829 ms P
12.7611 ms P
12.8024 ms P
3.5834 ms P
2.1570 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
249.8301 ms Rimsenal Security - Turret Extensions Patch
29.2621 ms P
29.4333 ms P
37.3554 ms P
26.0856 ms P
12.6760 ms P
12.8387 ms P
12.7603 ms P
12.7780 ms P
12.6795 ms P
25.5209 ms P
12.8012 ms P
12.7842 ms P
12.8549 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
91.5055 ms Toxic Fallout Protection Suit
12.6916 ms P
25.2220 ms P
12.6144 ms P
40.9775 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
570.9479 ms VGP Vegetable Garden
12.6230 ms P
3.9304 ms P
2.2682 ms P
2.0846 ms P
2.0529 ms P
15.3956 ms P
15.0264 ms P
13.3191 ms P
12.7247 ms P
12.6034 ms P
1.3480 ms P
1.7936 ms P
12.2401 ms P
12.7501 ms P
12.7652 ms P
12.6668 ms P
12.7528 ms P
4.2495 ms P
25.1907 ms P
25.4336 ms P
25.6382 ms P
12.7172 ms P
12.6507 ms P
12.7001 ms P
12.7419 ms P
12.8828 ms P
12.8230 ms P
12.6769 ms P
12.6752 ms P
12.7525 ms P
12.7120 ms P
12.7695 ms P
7.2141 ms P
6.3265 ms P
12.7562 ms P
12.7213 ms P
12.6811 ms P
12.7226 ms P
12.7803 ms P
12.9265 ms P
12.6561 ms P
12.6747 ms P
12.7446 ms P
12.8296 ms P
12.8802 ms P
12.7250 ms P
12.6443 ms P
12.7061 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
34.2087 ms VGP Garden Canning
0.9615 ms P
4.3663 ms P
28.8809 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
88.7772 ms VGP Garden Drinks
9.0774 ms P
0.9469 ms P
12.1590 ms P
12.7085 ms P
12.7737 ms P
12.9192 ms P
0.9544 ms P
14.6153 ms P
12.6228 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
126.3334 ms VGP Garden Fabrics
12.6726 ms P
12.0424 ms P
0.9342 ms P
12.5579 ms P
12.8239 ms P
12.7038 ms P
12.8396 ms P
12.7600 ms P
5.9204 ms P
15.5236 ms P
15.5550 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
482.8836 ms VGP Garden Gourmet
0.9418 ms P
0.8756 ms P
0.8755 ms P
0.9411 ms P
192.3146 ms P
13.0092 ms P
12.7598 ms P
12.8247 ms P
12.7648 ms P
12.6546 ms P
12.5944 ms P
12.7802 ms P
12.7533 ms P
12.7451 ms P
12.5939 ms P
12.8301 ms P
12.6562 ms P
17.1146 ms P
1.6211 ms P
1.5717 ms P
5.2256 ms P
5.4346 ms P
10.2890 ms P
31.6667 ms P
3.8493 ms P
24.4644 ms P
12.8013 ms P
12.6892 ms P
4.2866 ms P
2.9546 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
13.9900 ms VGP Garden Medicine
0.8723 ms P
0.8393 ms P
12.2784 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
117.6156 ms VGP Garden Tools
6.2472 ms P
25.0394 ms P
25.3983 ms P
25.6361 ms P
17.7068 ms P
17.5878 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
35.5597 ms VGP More Veggies
16.9129 ms P
16.8953 ms P
1.7515 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
44.4389 ms Cannibal Meals (VGP required)
18.8725 ms P
25.5664 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
451.3173 ms Expanded Woodworking for Vegetable Garden Project
88.9224 ms P
89.1551 ms P
3.6192 ms P
13.0517 ms P
17.8459 ms P
65.5253 ms P
70.9968 ms P
70.7522 ms P
31.4487 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
1087.6200 ms Smokeleaf Industry
0.5511 ms P
95.9777 ms P
12.8057 ms P
38.2339 ms P
22.0224 ms P
8.0229 ms P
17.3647 ms P
4.2664 ms P
48.8765 ms P
51.8738 ms P
50.7953 ms P
63.6914 ms P
31.4577 ms P
31.8205 ms P
52.3750 ms P
10.6172 ms P
52.2742 ms P
12.8511 ms P
4.7886 ms P
9.7930 ms P
10.4394 ms P
20.7819 ms P
104.8946 ms P
10.1995 ms P
31.0512 ms P
10.8330 ms P
12.6104 ms P
11.2835 ms P
0.0452 ms P
0.0015 ms P
0.0009 ms P
6.0206 ms P
202.7329 ms P
23.6683 ms P
11.6479 ms P
10.9497 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
5347.2700 ms [1.0] Apparel Organizer
3.2630 ms P
2.3932 ms P
4.5549 ms P
5.6854 ms P
50.9299 ms P
38.5791 ms P
51.3828 ms P
12.8110 ms P
12.7510 ms P
12.6705 ms P
12.7754 ms P
12.8246 ms P
12.7743 ms P
12.7802 ms P
12.6186 ms P
12.7866 ms P
12.8078 ms P
12.8128 ms P
12.8056 ms P
12.7396 ms P
12.8301 ms P
12.6655 ms P
12.6737 ms P
12.7051 ms P
12.7635 ms P
12.9359 ms P
12.7193 ms P
12.7131 ms P
12.8780 ms P
12.7723 ms P
12.7432 ms P
12.7791 ms P
12.7296 ms P
12.7584 ms P
12.7029 ms P
12.7621 ms P
12.6553 ms P
12.7826 ms P
12.7979 ms P
12.7619 ms P
12.6640 ms P
12.8816 ms P
12.7663 ms P
12.7357 ms P
12.8090 ms P
12.7496 ms P
12.9525 ms P
12.9463 ms P
12.6899 ms P
13.0160 ms P
13.4289 ms P
13.0052 ms P
12.7343 ms P
12.8367 ms P
12.9156 ms P
13.0481 ms P
12.7729 ms P
12.8058 ms P
12.7433 ms P
12.8765 ms P
12.9061 ms P
12.8693 ms P
12.8170 ms P
12.6684 ms P
12.9238 ms P
12.7790 ms P
12.8830 ms P
12.7674 ms P
12.9476 ms P
12.8521 ms P
12.8086 ms P
12.7477 ms P
12.7258 ms P
12.8642 ms P
12.8136 ms P
12.7523 ms P
12.7886 ms P
12.7646 ms P
12.7815 ms P
12.8222 ms P
12.7076 ms P
7.3184 ms P
12.6109 ms P
12.6795 ms P
12.7717 ms P
12.8649 ms P
12.8093 ms P
12.7238 ms P
12.9238 ms P
12.8346 ms P
12.7686 ms P
12.8516 ms P
12.7803 ms P
12.8114 ms P
12.8064 ms P
12.8089 ms P
64.2077 ms P
12.7200 ms P
12.9119 ms P
12.8156 ms P
193.1551 ms P
14.6159 ms P
12.8829 ms P
12.8552 ms P
12.8731 ms P
12.8549 ms P
12.7780 ms P
12.8228 ms P
12.7805 ms P
12.9033 ms P
12.7862 ms P
12.8303 ms P
12.6769 ms P
12.9155 ms P
12.8228 ms P
12.9033 ms P
12.7925 ms P
13.0546 ms P
12.8419 ms P
12.8914 ms P
12.8569 ms P
12.7369 ms P
12.9409 ms P
12.9243 ms P
12.7925 ms P
12.6418 ms P
12.7341 ms P
12.8665 ms P
12.8724 ms P
12.7854 ms P
12.6246 ms P
12.8153 ms P
12.7405 ms P
12.7947 ms P
12.7676 ms P
12.8821 ms P
12.8432 ms P
12.8106 ms P
12.7572 ms P
12.7489 ms P
12.7820 ms P
76.6041 ms P
12.8097 ms P
12.8544 ms P
12.9451 ms P
12.7604 ms P
12.7788 ms P
12.7915 ms P
12.8398 ms P
12.7715 ms P
12.8820 ms P
12.7272 ms P
12.7791 ms P
12.7885 ms P
12.7881 ms P
12.8643 ms P
12.7828 ms P
12.7851 ms P
12.7845 ms P
12.7974 ms P
12.7065 ms P
12.8059 ms P
12.7738 ms P
12.8560 ms P
12.7786 ms P
12.7918 ms P
12.8581 ms P
12.8153 ms P
12.7672 ms P
12.7627 ms P
12.7895 ms P
12.8466 ms P
12.7612 ms P
12.8644 ms P
25.9304 ms P
12.7402 ms P
12.7862 ms P
12.8968 ms P
12.8577 ms P
12.7711 ms P
12.8206 ms P
12.8148 ms P
13.4857 ms P
13.0905 ms P
12.9667 ms P
12.8279 ms P
12.7445 ms P
12.8576 ms P
12.8662 ms P
12.8714 ms P
12.9873 ms P
12.8779 ms P
13.0208 ms P
12.8276 ms P
12.8336 ms P
12.8198 ms P
13.0793 ms P
12.8159 ms P
12.7498 ms P
12.7708 ms P
12.8137 ms P
12.8580 ms P
15.6239 ms P
15.8358 ms P
12.9410 ms P
193.2042 ms P
13.1042 ms P
13.1258 ms P
12.9623 ms P
12.8384 ms P
13.0388 ms P
14.1616 ms P
12.9188 ms P
12.8100 ms P
25.8968 ms P
25.5463 ms P
12.8449 ms P
13.0448 ms P
12.9421 ms P
12.7697 ms P
12.8259 ms P
12.9015 ms P
12.9007 ms P
12.7807 ms P
12.8033 ms P
12.8519 ms P
12.9330 ms P
12.6905 ms P
12.7040 ms P
12.7618 ms P
12.8673 ms P
12.7502 ms P
12.6649 ms P
12.7861 ms P
12.7237 ms P
12.7500 ms P
12.8625 ms P
12.7426 ms P
12.7959 ms P
12.7872 ms P
12.7904 ms P
12.7336 ms P
12.8812 ms P
12.9103 ms P
12.7538 ms P
12.6325 ms P
12.7730 ms P
13.0356 ms P
12.7902 ms P
12.8234 ms P
12.8685 ms P
12.7318 ms P
13.0432 ms P
12.9230 ms P
12.8572 ms P
13.0829 ms P
12.8840 ms P
12.8384 ms P
12.7774 ms P
12.7051 ms P
12.8461 ms P
12.8344 ms P
12.8285 ms P
12.7811 ms P
12.7373 ms P
12.8333 ms P
12.8161 ms P
12.8358 ms P
12.8696 ms P
12.8613 ms P
12.9356 ms P
12.7029 ms P
12.7419 ms P
12.9377 ms P
12.8746 ms P
12.7468 ms P
12.7518 ms P
12.7803 ms P
12.8014 ms P
12.8494 ms P
12.8334 ms P
12.8186 ms P
12.7661 ms P
12.7593 ms P
12.9247 ms P
12.8702 ms P
12.9539 ms P
12.8654 ms P
12.7759 ms P
12.8907 ms P
12.7900 ms P
12.9930 ms P
12.8149 ms P
12.8862 ms P
12.7643 ms P
12.8038 ms P
12.9043 ms P
12.9173 ms P
12.8052 ms P
12.8099 ms P
12.9267 ms P
12.8348 ms P
12.8980 ms P
12.8500 ms P
12.8494 ms P
12.9411 ms P
12.9914 ms P
12.8968 ms P
12.8210 ms P
12.8811 ms P
12.8235 ms P
12.7995 ms P
12.8998 ms P
12.8335 ms P
194.8703 ms P
13.0505 ms P
12.8650 ms P
12.8838 ms P
12.8655 ms P
12.7520 ms P
12.7397 ms P
12.9404 ms P
12.8429 ms P
12.7815 ms P
12.7415 ms P
12.7561 ms P
12.7844 ms P
12.6966 ms P
12.6577 ms P
12.7105 ms P
12.8803 ms P
12.8285 ms P
17.1658 ms P
15.8229 ms P
15.6598 ms P
15.8340 ms P
15.7670 ms P
15.6402 ms P
15.5473 ms P
15.6234 ms P
15.7314 ms P
3.8718 ms P
12.1440 ms P
12.6336 ms P
16.2285 ms P
16.0597 ms P
6.5419 ms P
4.2937 ms P
4.3513 ms P
4.3239 ms P
4.8867 ms P
4.4726 ms P
4.1733 ms P
4.5776 ms P
4.6983 ms P
11.9913 ms P
12.6148 ms P
12.8343 ms P
12.7310 ms P
12.7477 ms P
12.6524 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
735.1419 ms [XND] Survival Tools
2.6182 ms P
2.4751 ms P
4.0266 ms P
2.1799 ms P
2.0794 ms P
2.0639 ms P
2.7736 ms P
2.8679 ms P
2.3628 ms P
4.5980 ms P
2.4112 ms P
2.4034 ms P
2.7923 ms P
2.6297 ms P
11.9982 ms P
12.8307 ms P
12.7854 ms P
13.3727 ms P
12.7205 ms P
12.6964 ms P
12.9349 ms P
12.7884 ms P
12.7894 ms P
12.6933 ms P
12.9307 ms P
3.5951 ms P
2.8201 ms P
2.3198 ms P
2.1546 ms P
2.0440 ms P
2.0842 ms P
2.1922 ms P
2.5681 ms P
3.0110 ms P
2.7143 ms P
2.4230 ms P
5.0272 ms P
167.3634 ms P
167.5331 ms P
2.6482 ms P
2.5521 ms P
2.4531 ms P
2.4199 ms P
1.0106 ms P
2.4273 ms P
2.4884 ms P
64.8453 ms P
52.2275 ms P
14.5843 ms P
13.9522 ms P
26.8603 ms P
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[PrepareLanding] [postfix] StitchedPagesPostFix
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[PrepareLanding] [postfix] RimWorld.PageUtility.StitchedPages: Found RimWorld.Page_SelectStartingSite.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[PrepareLanding] [postfix] StitchedPagesPostFix /!\ Error !!!! Required page not found /!\
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[PrepareLanding] [postfix] StitchedPagesPostFix; Missing page type: RimWorld.Page_CreateWorldParams
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[PrepareLanding] [postfix] StitchedPagesPostFix done!
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[PrepareLanding] OnWordAboutToBeGenerated
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Failed to find faction base tile for The Daggers
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Retrying.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Failed to find faction base tile for Armed Expedition Force Chi
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Retrying.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Failed to find faction base tile for Spirit Takers
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Retrying.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Failed to find faction base tile for The Agency
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Retrying.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Failed to find faction base tile for 4th Infantry Division
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Retrying.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Failed to find faction base tile for Seafaring Townsfolk of Night Stream
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Retrying.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Failed to find faction base tile for Militaires Sans Frontières
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Retrying.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Failed to find faction base tile for The Ghosts
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Retrying.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Failed to find faction base tile for The Orange Aardvark Tribe
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Retrying.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Failed to find faction base tile for Confederation of the Brown Plain
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Retrying.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Thread 5 ---
2417ms GenerateWorld
- 24ms WorldGenStep - Terrain
- 1118ms WorldGenStep - Components
- 1ms WorldGenStep - Lakes
- 6ms WorldGenStep - Rivers
- 0ms WorldGenStep - AncientSites
- 0ms WorldGenStep - AncientRoads
- 1011ms WorldGenStep - Factions
- 10ms WorldGenStep - Roads
- 12ms WorldGenStep - Features
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[PrepareLanding] OnWorldGenerated
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Failed to find faction base tile for PlayerColony
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Retrying.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Unloading 5 Unused Serialized files (Serialized files now loaded: 0)
Unloading 485 unused Assets to reduce memory usage. Loaded Objects now: 30969.
Total: 207.518997 ms (FindLiveObjects: 1.391000 ms CreateObjectMapping: 2.089000 ms MarkObjects: 203.586990 ms DeleteObjects: 0.452000 ms)
[PrepareLanding] Prefilter: 46 tiles in WorldGrid.tiles
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[PrepareLanding] OnWordAboutToBeGenerated
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Thread 6 ---
1776ms GenerateWorld
- 455ms WorldGenStep - Terrain
- 297ms WorldGenStep - Components
- 0ms WorldGenStep - Lakes
- 10ms WorldGenStep - Rivers
- 0ms WorldGenStep - AncientSites
- 2ms WorldGenStep - AncientRoads
- 777ms WorldGenStep - Factions
- 10ms WorldGenStep - Roads
- 23ms WorldGenStep - Features
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[PrepareLanding] OnWorldGenerated
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Unloading 1 Unused Serialized files (Serialized files now loaded: 0)
Unloading 10 unused Assets to reduce memory usage. Loaded Objects now: 31222.
Total: 201.605011 ms (FindLiveObjects: 1.444000 ms CreateObjectMapping: 2.107000 ms MarkObjects: 197.962997 ms DeleteObjects: 0.091000 ms)
[PrepareLanding] Prefilter: 3787 tiles in WorldGrid.tiles
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Facial Stuff: Failed to get front texture at Things/Pawn/Humanlike/Beards/Beard_Pointy_FrancisB_Narrow_south - Graphic_Multi_NaturalHeadParts
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Unloading 3 Unused Serialized files (Serialized files now loaded: 0)
requesting resize 1920 x 1080
Using native desktop resolution 1920 x 1080
requesting fullscreen 1920 x 1080 at 0 Hz
Desktop is 1920 x 1080 @ 60 Hz
Unloading 16 unused Assets to reduce memory usage. Loaded Objects now: 31735.
Total: 202.210999 ms (FindLiveObjects: 1.579000 ms CreateObjectMapping: 2.280000 ms MarkObjects: 198.239990 ms DeleteObjects: 0.112000 ms)
Initializing new game with mods ModCheck, Core, HugsLib, JecsTools, Miscellaneous 'CORE', Humanoid Alien Races 2.0, Mod Manager, Giddy-up! Core, Giddy-up! Battle Mounts, Giddy-up! Caravan, Giddy-up! Ride and Roll, Doors Expanded, [KV] Faction Control - 1.0, Faction Discovery, Map Reroll, Misc. MapGenerator, My Little Planet, [RF] Permafrost [1.0], [RF] Realistic Planets [1.0], Achtung!, Allow Tool, Animal Variety Coats, Animals Logic, Architect Icons, [XND] AutoOwl, Avoid Friendly Fire, Better Pawn Control, Better Workbench Management, Blueprints, Bo's Milkable Animals, Camera+, Carcinoma spreads, Combat Readiness Check, [KV] Consolidated Traits - 1.0, Cyber Fauna 1.0, [1.0] DE Surgeries, Death Rattle, Deconstruct Return Fix, Deep Ore Identifier, Defensive Positions, Designator Shapes, Dual Wield, Dubs Mint Menus, EdB Prepare Carefully, [RF] Editable Backstories and Names [1.0], Expanded Incidents, Facial Stuff 1.0, AlienFaces, [WD] Fast Moisture Pumps 1.0, Fluffy Breakdowns, Follow Me, [XND] Forbiddable Debris, FrameRateControl, Fuel Economy, Gear Up And Go, Grenade Fix: Rearmed, Hardworking animals 1.0, Harvest Everything!, Harvest Organs Post Mortem - 4.1 [1.0], Harvest Sync, [SYR] Harvest Yield Patch, Haul to Stack, [KV] Improved Load Transport Pods, Incident Person Stat, Infused, In-wall coolers and vents [1.0], Just Ignore Me Passing, [KV] Keep Hands & Feet - 1.0, Less Arbitrary Surgery, Locks, Locks (DoorsExpanded), Meals On Wheels, [XND] Memorable Auroras, MendAndRecycle, [CP] Metal Gear Solid - CQC Takedown (1.0), Miniaturisation, More Harvest Designators!, More Planning, [KV] More Trait Slots - 1.0, Numbers, OgreStack, [RF] Packed Lunches [1.0], [KV] Path Avoid - 1.0, [RF] Pawns are Capable! [1.0], Pick Up And Haul, Power Indicators, PowerSwitch, Prepare Landing, [XND] Proper Shotguns, Psychology, QualityBuilder, Quality Cooldown, [FSF] Rain Washes Away Filth, [XND] Ranged Stagger Rebalanced, Realistic Darkness 1.0, Realistic Rooms, Reasonable Components V1, Replace Stuff, Research Tree, Reverse Commands, Reworked Temperature Extreme Events [1.0], RimHUD, RIMMSqol, Rimsenal - Storyteller pack, Rimworld Search Agency, [1.0] RPG Style Inventory, RunAndGun, Run and Hide, RuntimeGC, SafeInside, Safe Pause, Save Our Ship (Reloaded), [RF] Scenarios [1.0], Search and Destroy, [SYR] Set Up Camp, SF Grim Reality 1.0, SF Materials Rebalanced, Share The Load, Shear Those Corpses Vanilla, Shear Those Corpses ACP, Shoo!, ShowModDesignators, Simple sidearms, Simple Stockpile Presets, Skilled Stonecutting, Smart Medicine, Snap Out!, Snow Clearance Sanity, Sometimes Raids Go Wrong, Static Quality Plus 1.1, Stronger Quality Scaling (1.0), [XND] Stuffed Flaks, Stuffed Floors, Suppression, [XND] Targeting Modes, Tech Advancing, [1.0] Terrain Zone Selections, The Birds and the Bees, Therapy, [KV] Trading Spot - 1.0, Turn It On and Off v1.3 [1.0], [XND] Turret Extensions, [XND] TE Turret Expansion, [RF] Tribal Pawn Names [1.0], Ugh You Got Me, Use Bedrolls, Vanilla Animals Overhaul Reloaded, Various Space Ship Chunk, [XND] Visible Pants, [XND] Watermill Tweaks, WeaponStats, Weapon Tech, Weapon Tech - Turret Extensions Patch, What the hack?!, Giddy-up! Mechanoids, While You're Up [1.0], [1.0] Wild Animal Sex V4.1, [RF] Wild Cultivation [1.0], WM Smarter food selection, Animal Tab, Colony Manager, Medical Tab, Pharmacist, Relations Tab, Work Tab, Auto Seller, Dismiss Trader, Let's Trade! [1.0], The Rock Trade [1.0], More Trade Ships, [XND] Profitable Weapons, Supply and Demand, Hospitality, PrisonerRansom, More Faction Interaction, Outfitted, RimQuest, RimWriter - Books, Scrolls, Tablets, and Libraries, Rimsenal - Federation, Rimsenal - Feral, Call of Cthulhu - Factions, Mechanoids Extraordinaire, MiningCo. Spaceship, More Mechanoids, [RH] Faction: Militaires Sans Frontieres (1.0), [RH] Faction: The Ghosts (1.0), Base Robots, Cleaning Bot, Hauling Bot, Rimsenal - Rimhair, Spoons Hair Mod, [CP] Chicken Mitchell - Facial Stuff Version (1.0), Xeva's Rimhair, Alpha Animals, AnimalCollabProj, Spidercamp's Dog Pack (1.0), [RF] Advanced Bridges [1.0], [sd] advanced powergeneration, Storage Solutions, Advanced Transport Pods, Ancient_Structures, Apparello 2, Call of Cthulhu - Cosmic Horrors, Call of Cthulhu - Cults, Call of Cthulhu - Straitjackets, Centralized Climate Control, [1.0] Combat Shields, [RF] Concrete [1.0], [KV] Change Dresser - 1.0, Dubs Rimkit, Dubs Skylights, [KV] Infinite Storage - 1.0, [KV] Weapon Storage - 1.0, [1.0] Defenses Expanded, [SYR] Doormats, Dubs Bad Hygiene, Expanded Prosthetics and Organ Engineering, EPOE Replacement Expansion, Expanded Woodworking, FashionRIMsta, [RF] Fertile Fields [1.0], Fertile Fields Dub's Hygiene Compat, FishIndustry, Furnace, [XND] Genetically Engineered Plants, Genetic Rim, GeneticRim Cosmic Horrors Patch, Halloween Special Edition, [KV] Hand 'n' Footwear - 1.0, Industrial Age - Objects and Furniture, Industrial Rollers, LED Lights, Mass Graves, MiningCo. Projector, Misc. Training, Misc. TurretBase, Objects, More Furniture [1.0], More Linkables, More Vanilla Turrets [1.0], More Vanilla Turrets - Turret Extensions Patch, [1.0] Palisades, Pawns Paint! Restored, Power Logic, Quarry 1.0, [CP] Red Horse Furniture (1.0), Remote Tech, Rimatomics, RimBees, Rimefeller, [KV] RimFridge - 1.0, RIMkea, Rimlaser, [CP] Rimmu-Nation - Clothing (1.0), [CP] Rimmu-Nation - Weapons (1.0), Rim of Madness - Arachnophobia, Rim of Madness - Bones, Rimsenal, Rimsenal - Enhanced Vanilla Pack, Rimsenal - Security pack, Rimsenal Security - Turret Extensions Patch, Roof Support 1.0, RT Fuse, RT Solar Flare Shield, SS Bigger Batteries, SS Battery Fuse, Shield Generators by Frontier Developments, Shields, Tables+, Toxic Fallout Protection Suit, VGP Vegetable Garden, VGP Garden Canning, VGP Garden Drinks, VGP Garden Fabrics, VGP Garden Gourmet, VGP Garden Medicine, VGP Garden Resources, VGP Garden Tools, VGP More Veggies, VGP Xtra Trees and Flowers, Cannibal Meals (VGP required), Cosmic Horrors VGP Meal Patch, Expanded Woodworking for Vegetable Garden Project, FF_VG_canned_delights, Smokeleaf Industry, [1.0] Apparel Organizer, [XND] Survival Tools, Twi'lek Race, Zabrak Race
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
QualityBuilder added property to '599' things
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[AnimalVariations] Loading XML data from: [Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/949283405/Textures/Things/Pawn/Animal/Weasels/Ferret/ACPFerret_SkinSet.xml
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Creating new female ACPFerret with skin index of 10 where 11 possible variants were available. Chance was 8%.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Creating new male ACPFerret with skin index of 0 where 11 possible variants were available. Chance was 8%.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Creating new female ACPFerret with skin index of 6 where 11 possible variants were available. Chance was 8%.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Creating new female ACPFerret with skin index of 8 where 11 possible variants were available. Chance was 8%.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[AnimalVariations] Loading XML data from: [Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1511926373/Textures/Things/Pawn/Animal/Hare/Hare_SkinSet.xml
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Creating new male Hare with skin index of 3 where 4 possible variants were available. Chance was 10%.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[AnimalVariations] Loading XML data from: [Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1511926373/Textures/Things/Pawn/Animal/Rat/Rat_SkinSet.xml
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Creating new male Rat with skin index of 0 where 5 possible variants were available. Chance was 16%.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[AnimalVariations] Loading XML data from: [Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1541721856/Textures/Things/Pawn/Animal/AA_MeadowAve/AA_MeadowAve_SkinSet.xml
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Creating new female AA_MeadowAve with skin index of 5 where 9 possible variants were available. Chance was 10%.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Creating new male AA_MeadowAve with skin index of 7 where 9 possible variants were available. Chance was 10%.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Creating new female AA_MeadowAve with skin index of 6 where 9 possible variants were available. Chance was 10%.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[AnimalVariations] Loading XML data from: [Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1511926373/Textures/Things/Pawn/Animal/Warg/Warg_SkinSet.xml
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Creating new male Warg with skin index of 1 where 5 possible variants were available. Chance was 16%.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[AnimalVariations] Loading XML data from: [Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1511926373/Textures/Things/Pawn/Animal/Alpaca/Alpaca_SkinSet.xml
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Creating new male Alpaca with skin index of 4 where 6 possible variants were available. Chance was 7%.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Creating new male Alpaca with skin index of 0 where 6 possible variants were available. Chance was 14%.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Creating new female Alpaca with skin index of 1 where 6 possible variants were available. Chance was 14%.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Creating new female Alpaca with skin index of 0 where 6 possible variants were available. Chance was 14%.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Creating new female Alpaca with skin index of 2 where 6 possible variants were available. Chance was 7%.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Creating new male Alpaca with skin index of 4 where 6 possible variants were available. Chance was 7%.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[AnimalVariations] Loading XML data from: [Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1511926373/Textures/Things/Pawn/Animal/Squirrel/Squirrel_SkinSet.xml
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Creating new male Squirrel with skin index of 4 where 4 possible variants were available. Chance was 10%.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[AnimalVariations] Loading XML data from: [Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1511926373/Textures/Things/Pawn/Animal/Hare/Hare_SkinSet.xml
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Creating new female Hare with skin index of 1 where 4 possible variants were available. Chance was 20%.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[AnimalVariations] Loading XML data from: [Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/949283405/Textures/Things/Pawn/Animal/Rodents/Guinea Pig/ACPGuineaPig_SkinSet.xml
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Creating new male ACPGuineaPig with skin index of 7 where 11 possible variants were available. Chance was 2%.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Creating new male ACPGuineaPig with skin index of 0 where 11 possible variants were available. Chance was 8%.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Creating new female ACPGuineaPig with skin index of 8 where 11 possible variants were available. Chance was 1%.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[AnimalVariations] Loading XML data from: [Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1511926373/Textures/Things/Pawn/Animal/Alpaca/Alpaca_SkinSet.xml
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Creating new male Alpaca with skin index of 1 where 6 possible variants were available. Chance was 14%.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Creating new female Alpaca with skin index of 1 where 6 possible variants were available. Chance was 14%.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Creating new female Alpaca with skin index of 5 where 6 possible variants were available. Chance was 4%.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[AnimalVariations] Loading XML data from: [Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1511926373/Textures/Things/Pawn/Animal/Rat/Rat_SkinSet.xml
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Creating new female Rat with skin index of 2 where 5 possible variants were available. Chance was 8%.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[AnimalVariations] Loading XML data from: [Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1541721856/Textures/Things/Pawn/Animal/AA_Aerofleet/AA_Aerofleet_SkinSet.xml
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Creating new female AA_Aerofleet with skin index of 0 where 2 possible variants were available. Chance was 33%.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Creating new male AA_Aerofleet with skin index of 0 where 2 possible variants were available. Chance was 33%.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Creating new male AA_Aerofleet with skin index of 1 where 2 possible variants were available. Chance was 33%.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[AnimalVariations] Loading XML data from: [Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1511926373/Textures/Things/Pawn/Animal/Hare/Hare_SkinSet.xml
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Creating new female Hare with skin index of 2 where 4 possible variants were available. Chance was 10%.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[AnimalVariations] Loading XML data from: [Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1511926373/Textures/Things/Pawn/Animal/Rat/Rat_SkinSet.xml
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Creating new female Rat with skin index of 0 where 5 possible variants were available. Chance was 16%.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[AnimalVariations] Loading XML data from: [Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1511926373/Textures/Things/Pawn/Animal/Hare/Hare_SkinSet.xml
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Creating new female Hare with skin index of 0 where 4 possible variants were available. Chance was 20%.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[AnimalVariations] Loading XML data from: [Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1511926373/Textures/Things/Pawn/Animal/Rat/Rat_SkinSet.xml
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Creating new male Rat with skin index of 0 where 5 possible variants were available. Chance was 16%.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[AnimalVariations] Loading XML data from: [Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1511926373/Textures/Things/Pawn/Animal/Squirrel/Squirrel_SkinSet.xml
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Creating new male Squirrel with skin index of 1 where 4 possible variants were available. Chance was 20%.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[AnimalVariations] Loading XML data from: [Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1511926373/Textures/Things/Pawn/Animal/Rat/Rat_SkinSet.xml
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Creating new male Rat with skin index of 0 where 5 possible variants were available. Chance was 16%.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[AllowTool] Injected 6 designators
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
QualityBuilder added to orders category.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[PrepareLanding] WorldLoaded (from save).
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[PrepareLanding] OnWorldLoaded
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
--- Thread 7 ---
32330ms InitNewGame
- 32128ms InitNewGeneratedMap
- - 120ms Set up map
- - 30855ms Generate contents into map
- - - 119ms GenStep - ElevationFertility
- - - 0ms GenStep - Caves
- - - 256ms GenStep - ScatterDeepResourceLumps
- - - 281ms GenStep - RocksFromGrid
- - - 104ms GenStep - Terrain
- - - 0ms GenStep - CavesTerrain
- - - 172ms GenStep - Roads
- - - - 94ms RebuildAllRegions
- - - 1490ms GenStep - RockChunks
- - - 2777ms GenStep - ScatterRuinsSimple_new
- - - 631ms GenStep - ScatterBlueprintSimple_normal
- - - 0ms GenStep - ScatterBlueprintVillage_normal
- - - 873ms GenStep - ScatterShrines
- - - 25ms GenStep - SteamGeysers
- - - 126ms GenStep - FindPlayerStartSpot
- - - - 124ms RebuildAllRegions
- - - 23ms GenStep - ScenParts
- - - 22971ms GenStep - Plants
- - - 1ms GenStep - Snow
- - - 913ms GenStep - Animals
- - - 21ms GenStep - CaveHives
- - - 56ms GenStep - Fog
- - - - 56ms GenerateInitialFogGrid
- - 1122ms Finalize map init
- - 23ms MapComponent.MapGenerated()
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Unloading 0 Unused Serialized files (Serialized files now loaded: 0)
[AnimalVariations] Loading XML data from: [Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/949283405/Textures/Things/Pawn/Animal/Weasels/Ferret/ACPFerret_SkinSet.xml
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[AnimalVariations] Loading XML data from: [Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1511926373/Textures/Things/Pawn/Animal/Hare/Hare_SkinSet.xml
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[AnimalVariations] Loading XML data from: [Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1511926373/Textures/Things/Pawn/Animal/Rat/Rat_SkinSet.xml
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[AnimalVariations] Loading XML data from: [Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1541721856/Textures/Things/Pawn/Animal/AA_MeadowAve/AA_MeadowAve_SkinSet.xml
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[AnimalVariations] Loading XML data from: [Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1511926373/Textures/Things/Pawn/Animal/Warg/Warg_SkinSet.xml
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[AnimalVariations] Loading XML data from: [Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1511926373/Textures/Things/Pawn/Animal/Alpaca/Alpaca_SkinSet.xml
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[AnimalVariations] Loading XML data from: [Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1511926373/Textures/Things/Pawn/Animal/Squirrel/Squirrel_SkinSet.xml
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[AnimalVariations] Loading XML data from: [Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1511926373/Textures/Things/Pawn/Animal/Hare/Hare_SkinSet.xml
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[AnimalVariations] Loading XML data from: [Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/949283405/Textures/Things/Pawn/Animal/Rodents/Guinea Pig/ACPGuineaPig_SkinSet.xml
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[AnimalVariations] Loading XML data from: [Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1511926373/Textures/Things/Pawn/Animal/Alpaca/Alpaca_SkinSet.xml
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[AnimalVariations] Loading XML data from: [Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1511926373/Textures/Things/Pawn/Animal/Rat/Rat_SkinSet.xml
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[AnimalVariations] Loading XML data from: [Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1541721856/Textures/Things/Pawn/Animal/AA_Aerofleet/AA_Aerofleet_SkinSet.xml
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[AnimalVariations] Loading XML data from: [Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1511926373/Textures/Things/Pawn/Animal/Hare/Hare_SkinSet.xml
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[AnimalVariations] Loading XML data from: [Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1511926373/Textures/Things/Pawn/Animal/Rat/Rat_SkinSet.xml
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[AnimalVariations] Loading XML data from: [Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1511926373/Textures/Things/Pawn/Animal/Hare/Hare_SkinSet.xml
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[AnimalVariations] Loading XML data from: [Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1511926373/Textures/Things/Pawn/Animal/Rat/Rat_SkinSet.xml
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[AnimalVariations] Loading XML data from: [Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1511926373/Textures/Things/Pawn/Animal/Squirrel/Squirrel_SkinSet.xml
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[AnimalVariations] Loading XML data from: [Home_dir]/.local/share/Steam/steamapps/workshop/content/294100/1511926373/Textures/Things/Pawn/Animal/Rat/Rat_SkinSet.xml
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Added new filter
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Strange meat added to wax recipes.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Strange meal recipes added to WoodStoveFurnace defs
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Arachnophobia :: Spider Biome Settings Adjusted :: Current Factor: 1
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Nonaligned Pact of Northeastern Dinnemeistan are coming after 11.02732 days.
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Unloading 0 unused Assets to reduce memory usage. Loaded Objects now: 41795.
Total: 260.996002 ms (FindLiveObjects: 3.328000 ms CreateObjectMapping: 5.373000 ms MarkObjects: 252.218002 ms DeleteObjects: 0.076000 ms)
Error while generating pawn. Rethrowing. Exception: System.ArgumentException: curve has start/end point with y != 0
at Verse.Rand.ByCurve (Verse.SimpleCurve) <0x00193>
at (wrapper dynamic-method) Verse.PawnGenerator.GenerateRandomAge_Patch1 (Verse.Pawn,Verse.PawnGenerationRequest) <0x00493>
at Verse.PawnGenerator.TryGenerateNewPawnInternal (Verse.PawnGenerationRequest&,string&,bool,bool) <0x0034b>
at Verse.PawnGenerator.GenerateNewPawnInternal (Verse.PawnGenerationRequest&) <0x0033b>
at Verse.PawnGenerator.GenerateOrRedressPawnInternal (Verse.PawnGenerationRequest) <0x00aa3>
at (wrapper dynamic-method) Verse.PawnGenerator.GeneratePawn_Patch2 (Verse.PawnGenerationRequest) <0x00130>
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[HugsLib][ERR] WhatTheHack caused an exception during OnMapLoaded: System.ArgumentException: curve has start/end point with y != 0
at Verse.Rand.ByCurve (Verse.SimpleCurve) <0x00193>
at (wrapper dynamic-method) Verse.PawnGenerator.GenerateRandomAge_Patch1 (Verse.Pawn,Verse.PawnGenerationRequest) <0x00493>
at Verse.PawnGenerator.TryGenerateNewPawnInternal (Verse.PawnGenerationRequest&,string&,bool,bool) <0x0034b>
at Verse.PawnGenerator.GenerateNewPawnInternal (Verse.PawnGenerationRequest&) <0x0033b>
at Verse.PawnGenerator.GenerateOrRedressPawnInternal (Verse.PawnGenerationRequest) <0x00aa3>
at (wrapper dynamic-method) Verse.PawnGenerator.GeneratePawn_Patch2 (Verse.PawnGenerationRequest) <0x00130>
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
[PrepareLanding] Prefilter: 3787 tiles in WorldGrid.tiles
(Filename: /home/builduser/buildslave/unity/build/artifacts/generated/common/runtime/DebugBindings.gen.cpp Line: 51)
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment