Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

vpr_io_place.py fails when no pcf file is provided #2361

Open
mithro opened this issue Dec 29, 2021 · 0 comments
Open

vpr_io_place.py fails when no pcf file is provided #2361

mithro opened this issue Dec 29, 2021 · 0 comments

Comments

@mithro
Copy link
Contributor

mithro commented Dec 29, 2021

chipsalliance/f4pga-examples#236

See https://github.com/SymbiFlow/symbiflow-examples/runs/4655539957?check_suite_focus=true

04:21:58 | make: Entering directory '/root/symbiflow-examples/symbiflow-examples/xc7/linux_litex_demo'
04:21:58 | mkdir -p /root/symbiflow-examples/symbiflow-examples/xc7/linux_litex_demo/build/arty_35
04:21:58 | cd /root/symbiflow-examples/symbiflow-examples/xc7/linux_litex_demo/build/arty_35 && symbiflow_synth -t top -v /root/symbiflow-examples/symbiflow-examples/xc7/linux_litex_demo/baselitex_arty.v /root/symbiflow-examples/symbiflow-examples/xc7/linux_litex_demo/../../third_party/vexriscv-verilog/VexRiscv_Linux.v -d artix7 -p xc7a35tcsg324-1 -x /root/symbiflow-examples/symbiflow-examples/xc7/linux_litex_demo/arty.xdc 2>&1 > /dev/null
04:25:19 | cd /root/symbiflow-examples/symbiflow-examples/xc7/linux_litex_demo/build/arty_35 && symbiflow_pack -e top.eblif -d xc7a50t_test -s /root/symbiflow-examples/symbiflow-examples/xc7/linux_litex_demo/arty.sdc 2>&1 > /dev/null
04:28:56 | cd /root/symbiflow-examples/symbiflow-examples/xc7/linux_litex_demo/build/arty_35 && symbiflow_place -e top.eblif -d xc7a50t_test  -n top.net -P xc7a35tcsg324-1 -s /root/symbiflow-examples/symbiflow-examples/xc7/linux_litex_demo/arty.sdc 2>&1 > /dev/null
04:28:58 | Traceback (most recent call last):
04:28:58 |   File "/root/opt/symbiflow/xc7/install/share/symbiflow/scripts/prjxray_create_ioplace.py", line 178, in <module>
04:28:58 |     main()
04:28:58 |   File "/root/opt/symbiflow/xc7/install/share/symbiflow/scripts/prjxray_create_ioplace.py", line 169, in main
04:28:58 |     io_place.output_io_place(args.output)
04:28:58 |   File "/root/opt/symbiflow/xc7/install/share/symbiflow/scripts/vpr_io_place.py", line 193, in output_io_place
04:28:58 |     max_name_length = max(len(c.name) for c in self.constraints.values())
04:28:58 | ValueError: max() arg is an empty sequence
04:28:58 | make: *** [/root/symbiflow-examples/symbiflow-examples/xc7/linux_litex_demo/../../common/common.mk:59: /root/symbiflow-examples/symbiflow-examples/xc7/linux_litex_demo/build/arty_35/top.place] Error 1
04:28:58 | make: Leaving directory '/root/symbiflow-examples/symbiflow-examples/xc7/linux_litex_demo'
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

1 participant