Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Vivado errors on some valid clock placements #1346

Open
litghost opened this issue Feb 26, 2020 · 1 comment
Open

Vivado errors on some valid clock placements #1346

litghost opened this issue Feb 26, 2020 · 1 comment

Comments

@litghost
Copy link
Contributor

litghost commented Feb 26, 2020

In particular, Vivado errors on explicit BUFH -> PS7 placement. I've confirmed that Vivado will take the axi_regs_zybo design, and route the design the same way as current master symbiflow-arch-defs. However when Vivado is given the same design with an explicit BUFH, Vivado generates an error because of the BUFH -> PS7 placement. The likely solution is to not emit explicit BUFH instances, but instead emit route through the BUFH with FIXED_ROUTE's. This might be tricky, and is not the most important thing to work on right now.

For now, I recommend we disable the DIFF_FASM test for axi_regs_zybo until this is fixed.

@litghost
Copy link
Contributor Author

@mkurc-ant FYI

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

1 participant