Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Blink example not worked #1375

Closed
0anubis0 opened this issue Mar 22, 2020 · 2 comments
Closed

Blink example not worked #1375

0anubis0 opened this issue Mar 22, 2020 · 2 comments

Comments

@0anubis0
Copy link

318b480
The blink example for icestick not worked. Icestorm was not found.

I have installed the icestorm, after I was able to program the icestick but the result was bullshit. Just one led with half power.
-Tested the iceprog with a lattice example, worked.
-Modified the example, static declarations worked
-Everything related to clock not worked.

One note, at the build directory there was a synthetised verilog file. Looks like the yosys was optimized out the processing part.

The example worked out of box with the following commands:

yosys -p "synth_ice40 -blif rot.blif" rot.v
arachne-pnr -d 1k -p rot.pcf rot.blif -o rot.asc
icepack rot.asc rot.bin
iceprog rot.bin
@litghost
Copy link
Contributor

The ice40 portion of symbiflow-arch-defs has had very little development, with the majority of the focus on Xilinx 7-series support. This is not super suprising given where the focus has been.

@litghost
Copy link
Contributor

Won't fix

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants