Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

SDC/XDC create_clock and set_false_path constraints should propigate through Yosys #1291

Open
litghost opened this issue Jan 31, 2020 · 9 comments
Assignees

Comments

@litghost
Copy link
Contributor

litghost commented Jan 31, 2020

Currently SDC constraints are passed directly to VPR, which means the net names need to be the output net names from Yosys. However, constraints should be specified on the nets in the input verilog, and then a new SDC constraints file should be output from Yosys with the new net names.

Adding this feature to the XDC plugin should work?

@tmichalak / @acomodi I believe this would allow the LiteX XDC constraints to be used throughout the flow?

@mithro FYI

@mithro
Copy link
Contributor

mithro commented Jan 31, 2020

Yes.

We can also do the clock constraint propagation through PLLs and and other boxes here.

@mithro
Copy link
Contributor

mithro commented Feb 1, 2020

@daveshah1 - FYI this is the direction we are planning on going with constraints with VPR. Do you think it would be useful for nextpnr at all?

@daveshah1
Copy link
Contributor

I implemented net aliases in nextpnr, so a constraint in nextpnr can be any of the names that Yosys gives to a net. But this could be useful too

@mithro
Copy link
Contributor

mithro commented Feb 1, 2020

@daveshah1 How do you figure out the net aliases?

@daveshah1
Copy link
Contributor

In the JSON, the netnames section format allows more than one name for each net. I don't know if there is a BLIF equivalent.

@litghost
Copy link
Contributor Author

Can you close this issue once this is merged?

@tmichalak
Copy link
Contributor

tmichalak commented Nov 2, 2020

create_clock propagates through Yosys with this SDC plugin PR and a fix.
set_false_path is not propagated, currently we simply write out what we get from the commands.

@tmichalak
Copy link
Contributor

All related PRs have been merged. Closing.

@mithro
Copy link
Contributor

mithro commented Dec 5, 2020

Is there a separate issue so that set_false_path is handled correctly?

@mithro mithro reopened this Dec 5, 2020
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

4 participants