Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Fixup symbiflow toolchain for xilinx 7series #584

Conversation

nickoe
Copy link
Contributor

@nickoe nickoe commented Jan 27, 2021

Prefix "tools" with symbiflow_ as is done for the QuickLogic Symbiflow
toolchain. Installing symbiflow gives me the tools with the preifx, so I
guess this is the correct way to move forward.

I tested this by adding toolchain=Symbiflow to the constructor of basys3 (not upstreamed yet) and arty_a7 platform.

There is a slight issue, but possibly with my symbiflow env where I see:

FileNotFoundError: [Errno 2] No such file or directory: '/home/nickoe/symbiflow_install/xc7/conda/envs/xc7/share/symbiflow/prjxray-db/xc7a35tcsg324-1/tilegrid.json'

There should be artix7 prefixed the chip name there. I assume this is an issue in the prjxray* or something. Possibly related to f4pga/f4pga-arch-defs#1947.

Maybe @mglb wants to have a quick look at this as he submitted the original support for symbiflow in #463 ?

Prefix "tools" with symbiflow_ as is done for the QuickLogic Symbiflow
toolchain. Installing symbiflow gives me the tools with the preifx, so I
guess this is the correct way to move forward.

Signed-off-by: Nick Østergaard <oe.nick@gmail.com>
@nickoe nickoe force-pushed the fixup_symbiflow_toolchain_xilinx_7series branch from 66a1543 to b1af42f Compare January 27, 2021 20:11
@codecov
Copy link

codecov bot commented Jan 27, 2021

Codecov Report

Merging #584 (b1af42f) into master (09de190) will not change coverage.
The diff coverage is n/a.

Impacted file tree graph

@@           Coverage Diff           @@
##           master     #584   +/-   ##
=======================================
  Coverage   81.50%   81.50%           
=======================================
  Files          49       49           
  Lines        6461     6461           
  Branches     1287     1287           
=======================================
  Hits         5266     5266           
+ Misses       1008     1007    -1     
- Partials      187      188    +1     
Impacted Files Coverage Δ
nmigen/build/run.py 22.05% <0.00%> (ø)

Continue to review full report at Codecov.

Legend - Click here to learn more
Δ = absolute <relative> (impact), ø = not affected, ? = missing data
Powered by Codecov. Last update 09de190...b1af42f. Read the comment docs.

@nickoe
Copy link
Contributor Author

nickoe commented Jan 28, 2021

It lools like the issue I mentioned here shall be solved in nmigen, I will add a commit more to this PR when I get home.

See chipsalliance/f4pga-examples#123

@nickoe
Copy link
Contributor Author

nickoe commented Jan 28, 2021

The original issue observed is fixed by f4pga/f4pga-arch-defs#1986

I think this pull request is ready to be merged.

@whitequark whitequark merged commit 746886c into amaranth-lang:master Jan 31, 2021
@whitequark
Copy link
Member

Thanks!

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Development

Successfully merging this pull request may close these issues.

None yet

2 participants