Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

PLLE2_BASE techmap doesn't match yosys' cells #1991

Open
Xiretza opened this issue Jan 29, 2021 · 3 comments
Open

PLLE2_BASE techmap doesn't match yosys' cells #1991

Xiretza opened this issue Jan 29, 2021 · 3 comments
Assignees

Comments

@Xiretza
Copy link
Contributor

Xiretza commented Jan 29, 2021

yosys cells_xtra.v contains:

    output CLKFBOUT;
    output CLKOUT0;
    output CLKOUT1;
    output CLKOUT2;
    output CLKOUT3;
    output CLKOUT4;
    output CLKOUT5;
    output LOCKED;
    input CLKFBIN;
    input CLKIN1;
    input PWRDWN;
    input RST;

while cells_map.v contains:

input         CLKFBIN,
input         CLKIN,

output        CLKFBOUT,
output        CLKOUT0,
output        CLKOUT1,
output        CLKOUT2,
output        CLKOUT3,
output        CLKOUT4,
output        CLKOUT5,

input         RST,
output        LOCKED

Compared to yosys, CLKIN should be CLKIN1, and the PWRDWN pin is missing - fixing these two makes techmap work. This is the only module I had problems with, I don't know if something similar applies to other modules.

@litghost
Copy link
Contributor

litghost commented Jan 29, 2021

Per https://github.com/Xilinx/XilinxUnisimLibrary/blob/1c8e05fd1e9a79ceb8b996a0996674122eed086f/verilog/src/unisims/PLLE2_BASE.v#L37-L48 , I agree that the techmap in https://github.com/SymbiFlow/symbiflow-arch-defs/blob/master/xc/xc7/techmap/cells_map.v#L4295 is wrong.

GitHub
Contribute to Xilinx/XilinxUnisimLibrary development by creating an account on GitHub.
GitHub
FOSS architecture definitions of FPGA hardware useful for doing PnR device generation. - SymbiFlow/symbiflow-arch-defs

@litghost
Copy link
Contributor

@mkurc-ant Can you fix this when you bring in the MMCM support?

@mkurc-ant
Copy link
Collaborator

@litghost Sure, I'll take a look into it.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

3 participants