Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Error from instantiating MMCME2_BASE primitive #153

Open
WhiteNinjaZ opened this issue Jun 14, 2021 · 6 comments
Open

Error from instantiating MMCME2_BASE primitive #153

WhiteNinjaZ opened this issue Jun 14, 2021 · 6 comments
Assignees

Comments

@WhiteNinjaZ
Copy link
Contributor

I have been testing the symbiflow toolchain on a few designs and have run into an error while trying to run the pong design on project_f. When I try to run the design I get this error from the tool chain:
Message: Failed to find matching architecture model for 'MMCME2_BASE'
From what I can tell this is coming from file clock_gen_480p.sv trying to instance the MMCME primitive. Does symbiflow offer support for this yet?

@WhiteNinjaZ
Copy link
Contributor Author

@acomodi @tmichalak?

@acomodi
Copy link
Contributor

acomodi commented Jun 30, 2021

@WhiteNinjaZ At the moment there is a PR which is soon-to-be-merged and open to add support for the MMCM primitives in symbiflow-arch-defs. For context, symbiflow-arch-defs is the repository that generates the device data used to place&route.

Once that PR is merged and new device data generated by CI, we will be able to use MMCM primitives. In the meantime, unless strictly necessary, you might use PLLE2_BASE or PLLE2_ADV primitives instead.

@WhiteNinjaZ
Copy link
Contributor Author

Thank you for the info.

@WhiteNinjaZ
Copy link
Contributor Author

@acomodi Per chance do you know the time frame for when the MMCME2_BASE support in symbiflow-arch-defs will be done?

@mithro
Copy link
Contributor

mithro commented Jul 19, 2021

@mkurc-ant

@mkurc-ant
Copy link
Collaborator

@WhiteNinjaZ Hello. I can't tell about the time frame of doing that but adding MMCME2_BASE is a matter of writing a Yosys techmap in the same way as it is done for PLLE2_BASE. I'd prefer to do that after landing the MMCM PR but if you are lively interested in having the techmap there I can add it.

On the other hand merging f4pga/f4pga-arch-defs#1729 is more complicated as there is a CI failure not directly related to PLL/MMCM support which require further investigation.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

4 participants