Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Fix sphinx errors #33

Closed
mithro opened this issue Jun 23, 2020 · 0 comments · Fixed by #37
Closed

Fix sphinx errors #33

mithro opened this issue Jun 23, 2020 · 0 comments · Fixed by #37
Labels
documentation Improvements or additions to documentation

Comments

@mithro
Copy link
Member

mithro commented Jun 23, 2020

OS_TYPE=Linux CPU_TYPE=x86_64
'name: sphinxcontrib-verilog-diagrams' 'sphinxcontrib-verilog-diagrams'
source /home/tim/github/SymbiFlow/sphinxcontrib-verilog-diagrams/env/conda/bin/activate sphinxcontrib-verilog-diagrams && cd docs; make html
make[1]: Entering directory '/home/tim/github/SymbiFlow/sphinxcontrib-verilog-diagrams/docs'
Running Sphinx v3.1.1
making output directory... done
building [mo]: targets for 0 po files that are out of date
building [html]: targets for 1 source files that are out of date
updating environment: [new config] 1 added, 0 changed, 0 removed
verilog-diagram <sphinxcontrib_verilog_diagrams.VerilogDiagram object at 0x7f6853d4b290>                                                                      
verilog-diagram <sphinxcontrib_verilog_diagrams.VerilogDiagram object at 0x7f6853dbe5d0>
verilog-diagram <sphinxcontrib_verilog_diagrams.VerilogDiagram object at 0x7f6853d619d0>
verilog-diagram <sphinxcontrib_verilog_diagrams.VerilogDiagram object at 0x7f6853d059d0>
verilog-diagram <sphinxcontrib_verilog_diagrams.VerilogDiagram object at 0x7f6853d1d850>
verilog-diagram <sphinxcontrib_verilog_diagrams.VerilogDiagram object at 0x7f6853d05490>
verilog-diagram <sphinxcontrib_verilog_diagrams.VerilogDiagram object at 0x7f6853d05410>
verilog-diagram <sphinxcontrib_verilog_diagrams.VerilogDiagram object at 0x7f6853da37d0>
verilog-diagram <sphinxcontrib_verilog_diagrams.VerilogDiagram object at 0x7f6853d3bed0>
verilog-diagram <sphinxcontrib_verilog_diagrams.VerilogDiagram object at 0x7f6853ccf2d0>
verilog-diagram <sphinxcontrib_verilog_diagrams.VerilogDiagram object at 0x7f6853ccf390>

/home/tim/github/SymbiFlow/sphinxcontrib-verilog-diagrams/docs/index.rst:38: WARNING: Error in "code-block" directive:
maximum 1 argument(s) allowed, 7 supplied.

.. code-block:: python
   extensions = [
      ...,
      'sphinxcontrib_verilog_diagrams',
   ]
/home/tim/github/SymbiFlow/sphinxcontrib-verilog-diagrams/docs/index.rst:84: WARNING: Title underline too short.

Verilog Code Block (with license header)
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
/home/tim/github/SymbiFlow/sphinxcontrib-verilog-diagrams/docs/index.rst:106: WARNING: Title underline too short.

Verilog Code Block (without license header)
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
/home/tim/github/SymbiFlow/sphinxcontrib-verilog-diagrams/docs/index.rst:106: WARNING: Title underline too short.

Verilog Code Block (without license header)
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
@mithro mithro added the documentation Improvements or additions to documentation label Jun 23, 2020
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
documentation Improvements or additions to documentation
Projects
None yet
Development

Successfully merging a pull request may close this issue.

1 participant