Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Branch : Quicklogic : Primitives for the post-layout simulations #1436

Open
rakeshm75 opened this issue Apr 22, 2020 · 3 comments
Open

Branch : Quicklogic : Primitives for the post-layout simulations #1436

rakeshm75 opened this issue Apr 22, 2020 · 3 comments

Comments

@rakeshm75
Copy link

Which are the primitive files that can be used for post-layout simulations?

Are the files @ /symbiflow-arch-defs/quicklogic/primitives used for post-layout simulations?

If yes, the primitive names in the top_bit.v file does not match with the names in the above files.
Ex. logic_cell_macro in top_bit.v file and LOGIC in the above primitive file

If the above primitive files are not used for post-layout simulations then can you please point me to the relevant primitive files used for post-layout simulations.

@rakeshm75
Copy link
Author

There is port miss match in the primitives that are in top_bit.v file and the above mentioned primitive files (@ /symbiflow-arch-defs/quicklogic/primitives).

Can you point me to the primitives files that needs to be used along with top_bit.v (post layout netlist) for running post-layout simulations.

@kgugala
Copy link
Contributor

kgugala commented Apr 23, 2020

hi @rakeshm75

please use the cells_sim library from Yosys https://github.com/antmicro/yosys/blob/quicklogic-rebased/techlibs/quicklogic/cells_sim.v

GitHub
Yosys Open SYnthesis Suite. Contribute to antmicro/yosys development by creating an account on GitHub.

@tpagarani
Copy link
Contributor

hi @kgugala ,

primitives in cells_sim.v don't have any provision for timing annotation whereas /symbiflow-arch-defs/quicklogic/primitives has timing constructs emdedded.

Is that work in progress? let us know when would that be available as well as SDF generation.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

3 participants