Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Add support for MMCM #1468

Closed
mkurc-ant opened this issue May 6, 2020 · 3 comments
Closed

Add support for MMCM #1468

mkurc-ant opened this issue May 6, 2020 · 3 comments

Comments

@mkurc-ant
Copy link
Collaborator

Xilinx 7-series FPGAs have PLLs (PLLE2_ADV) and MMCMs (MMCME2_ADV). Both have the same function though MMCMs have some additional features.

Currently SymbiFlow has support only for PLLs. Adding support for MMCMs would require duplicating and augmenting what's done for PLLs. All bits for MMCMs should be known but it has to be double- checked.

@litghost
Copy link
Contributor

litghost commented Oct 2, 2020

PR opened for unknown bits: f4pga/prjxray#1447

arch-def side of this is still needed.

@mkurc-ant
Copy link
Collaborator Author

A WIP PR to arch-defs: #1729

@mkurc-ant
Copy link
Collaborator Author

#1729 has been merged. Closing

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants