Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

hdl.ast: replace slice.end by slice.stop in ValueKey #295

Closed
wants to merge 1 commit into from
Closed

hdl.ast: replace slice.end by slice.stop in ValueKey #295

wants to merge 1 commit into from

Conversation

psurply
Copy link

@psurply psurply commented Jan 5, 2020

This patch fixes the following warning which is reported when
RTLIL is generated from a design that uses slices:

nmigen/nmigen/hdl/ast.py:1505: DeprecationWarning: instead of `slice.end`, use `slice.stop`
    self._hash = hash((ValueKey(self.value.value), self.value.start, self.value.end))

Signed-off-by: Pierre Surply pierre.surply@lse.epita.fr

This patch fixes the following warning which is reported when
RTLIL is generated from a design that uses slices:

    nmigen/nmigen/hdl/ast.py:1505: DeprecationWarning: instead of `slice.end`, use `slice.stop`
        self._hash = hash((ValueKey(self.value.value), self.value.start, self.value.end))

Signed-off-by: Pierre Surply <pierre.surply@lse.epita.fr>
@codecov
Copy link

codecov bot commented Jan 5, 2020

Codecov Report

Merging #295 into master will not change coverage.
The diff coverage is 0%.

Impacted file tree graph

@@           Coverage Diff           @@
##           master     #295   +/-   ##
=======================================
  Coverage   82.13%   82.13%           
=======================================
  Files          34       34           
  Lines        5647     5647           
  Branches     1160     1160           
=======================================
  Hits         4638     4638           
  Misses        864      864           
  Partials      145      145
Impacted Files Coverage Δ
nmigen/hdl/ast.py 87.19% <0%> (ø) ⬆️
nmigen/tracer.py 94.59% <0%> (ø) ⬆️
nmigen/hdl/ir.py 94.43% <0%> (ø) ⬆️
nmigen/back/pysim.py 92.32% <0%> (ø) ⬆️

Continue to review full report at Codecov.

Legend - Click here to learn more
Δ = absolute <relative> (impact), ø = not affected, ? = missing data
Powered by Codecov. Last update 476ce15...d056ae8. Read the comment docs.

@psurply
Copy link
Author

psurply commented Jan 12, 2020

Never mind, it looks like this got fixed with e18385b. Thanks!

@psurply psurply closed this Jan 12, 2020
@whitequark
Copy link
Contributor

Yep, I didn't merge it because I had a git stash already that became e18385b.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

Successfully merging this pull request may close these issues.

None yet

2 participants