Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

AsyncFIFO/AsyncFIFOBuffered do not infer BRAMs on iCE40 #172

Closed
whitequark opened this issue Aug 18, 2019 · 3 comments
Closed

AsyncFIFO/AsyncFIFOBuffered do not infer BRAMs on iCE40 #172

whitequark opened this issue Aug 18, 2019 · 3 comments

Comments

@whitequark
Copy link
Contributor

AsyncFIFO specifies a transparent read port and that doesn't work on iCE40 across clock domains because it can only insert soft transparency logic. (How did this work on oMigen in the first place?)

This needs to be investigated closely.

@whitequark
Copy link
Contributor Author

whitequark commented Aug 18, 2019

How did this work on oMigen in the first place?

Answer: it does not. oMigen's AsyncFIFO[Buffered] with master Yosys does not synthesize into BRAM on iCE40.

@whitequark
Copy link
Contributor Author

I think this might be because the read port is transparent (as is the default for Memory.read_port(); we should probably make that explicit). But it doesn't make a lot of sense to have a transparent read port on a memory where both ports are in different domains.

How did this work before? Did oMigen emit the wrong kind of read port, and Xilinx quietly ignore it?

@whitequark
Copy link
Contributor Author

This is apparently a Yosys bug, YosysHQ/yosys#1390.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Projects
None yet
Development

No branches or pull requests

1 participant