Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Unknown bits for IOBs when using SSTL135 #1182

Closed
mkurc-ant opened this issue Dec 16, 2019 · 3 comments
Closed

Unknown bits for IOBs when using SSTL135 #1182

mkurc-ant opened this issue Dec 16, 2019 · 3 comments

Comments

@mkurc-ant
Copy link
Contributor

Unknown bits were found during running of the minitest/iostandards/features test. Didn't make more detailed tests to which mode if IOB (input/output/inout) those bits apply to. Here are some findings:

Part: xc7a50tfgg484-1

For SSTL135 single ended in region X0Y1

{ unknown_bit = "00000027_23_7", unknown_segment = "0x00000000", unknown_segbit = "39_743" }
{ unknown_bit = "00000027_76_7", unknown_segment = "0x00000000", unknown_segbit = "39_2439" }

For SSTL135 differential SLEW=SLOW in region X1Y0:

{ unknown_bit = "004015a6_23_8", unknown_segment = "0x00401580", unknown_segbit = "38_744" }
{ unknown_bit = "004015a6_39_8", unknown_segment = "0x00401580", unknown_segbit = "38_1256" }
{ unknown_bit = "004015a6_47_8", unknown_segment = "0x00401580", unknown_segbit = "38_1512" }
{ unknown_bit = "004015a7_23_9", unknown_segment = "0x00401580", unknown_segbit = "39_745" }
{ unknown_bit = "004015a7_39_9", unknown_segment = "0x00401580", unknown_segbit = "39_1257" }
{ unknown_bit = "004015a7_47_9", unknown_segment = "0x00401580", unknown_segbit = "39_1513" }

For SSTL135 differential SLEW=FAST in region X0Y1:

{ unknown_bit = "00000026_39_8", unknown_segment = "0x00000000", unknown_segbit = "38_1256" }
{ unknown_bit = "00000026_52_8", unknown_segment = "0x00000000", unknown_segbit = "38_1672" }
{ unknown_bit = "00000026_96_8", unknown_segment = "0x00000000", unknown_segbit = "38_3080" }
{ unknown_bit = "00000027_39_9", unknown_segment = "0x00000000", unknown_segbit = "39_1257" }
{ unknown_bit = "00000027_52_9", unknown_segment = "0x00000000", unknown_segbit = "39_1673" }
{ unknown_bit = "00000027_96_9", unknown_segment = "0x00000000", unknown_segbit = "39_3081" }
@litghost
Copy link
Contributor

I believe this has been fixed?

@mkurc-ant
Copy link
Contributor Author

I believe that it is still relevant. These bits pop up when running the minitest/iostandards/features for SSTL135. I'm surprised that they don't show for eg. LiteX which uses SSTL135

@mkurc-ant
Copy link
Contributor Author

It appears that once I've made the minitest to set INTERNAL_VREF in #1324 the missing bits disappeared. These bits may not be related to SSTL135 itself but may mean something like "use external VREF" on a given IOB.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants