Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

vendor.xilinx_{7series,ultrascale}: set BUFG* SIM_DEVICE as appropriate #490

Merged
merged 1 commit into from Aug 26, 2020

Conversation

whitequark
Copy link
Member

Fixes #438 (again).

@whitequark
Copy link
Member Author

This PR breaks 2017.4. There is no way to add a compatibility shim, so 2017.4 is not supported anymore.

@whitequark whitequark merged commit 6d98525 into master Aug 26, 2020
@whitequark whitequark deleted the xilinx-bufg branch August 26, 2020 15:46
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Development

Successfully merging this pull request may close these issues.

wrong type of buffer primitive used in series 7
1 participant