Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

YoWASP yosys fails to generate SVG diagrams #17

Open
rw1nkler opened this issue Sep 14, 2020 · 1 comment
Open

YoWASP yosys fails to generate SVG diagrams #17

rw1nkler opened this issue Sep 14, 2020 · 1 comment
Labels

Comments

@rw1nkler
Copy link

Currently, YoWASP Yosys fails to generate SVG diagrams. However, the .dot file is created correctly in the output directory.
The problem seems to be related to dot execution:

3. Generating Graphviz representation of design.
Writing dot description to `yosys.dot'.
Dumping module ADDER to page 1.
Exec: dot -Tsvg 'yosys.dot' > 'yosys.svg.new' && mv 'yosys.svg.new' 'yosys.svg'

Steps to reproduce:

wget https://raw.githubusercontent.com/SymbiFlow/sphinxcontrib-verilog-diagrams/master/tests/verilog/adder.v
python3 -c "from yowasp_yosys import *; run_yosys(['-p', 'prep -top ADDER ; cd ADDER; ; show -format svg -prefix yosys', 'adder.v'])"

Comparison with standard Yosys:

wget https://raw.githubusercontent.com/SymbiFlow/sphinxcontrib-verilog-diagrams/master/tests/verilog/adder.v
yosys -p 'prep -top ADDER ; cd ADDER; ; show -format svg -prefix yosys' adder.v
@whitequark whitequark added the bug label Sep 14, 2020
@whitequark
Copy link
Member

Yeah, there needs to be a custom hostcall used to implement Yosys' exec_command. I had planned to implement it but other tasks were higher priority.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
Development

No branches or pull requests

2 participants