Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Enable VPR toolchain for baselitex-nexys-video #262

Open
wtatarski opened this issue Nov 3, 2020 · 6 comments
Open

Enable VPR toolchain for baselitex-nexys-video #262

wtatarski opened this issue Nov 3, 2020 · 6 comments

Comments

@wtatarski
Copy link
Contributor

Below error needs to be fixed for successful launch of baselitex-nexys-video with VPR:

  File "/fpga-tool-perf/env/conda/envs/symbiflow-env/lib/python3.8/site-packages/prjxray/fasm_assembler.py", line 190, in parse_fasm_filename
    raise FasmLookupError('\n'.join(missing_features))
prjxray.fasm_assembler.FasmLookupError: Segment DB RIOI3, key RIOI3.IOI_OCLKM_0.IOI_IMUX31_1 not found from line 'RIOI3_X105Y145.IOI_OCLKM_0.IOI_IMUX31_1'
Makefile:43: recipe for target 'top.bit' failed
@litghost
Copy link
Contributor

litghost commented Nov 3, 2020

It is a little suspicious that the clock for that RIOI3 is coming from the interconnect, though I do agree the bits are missing. We should likely investigate adding the bits and figuring out why the router is routing the clock via the interconnect.

Is this a case where an inverter needs to be absorbed into the OLOGIC tile, but hasn't been? So the routing looks like CLK -> LUT1/INV -> OLOGIC, instead of CLK -> OLOGIC?

@acomodi
Copy link
Contributor

acomodi commented Nov 3, 2020

Is this a case where an inverter needs to be absorbed into the OLOGIC tile

Looking at the design, there is no OSERDES that has an inverted clock, but we need to further investigate this issue.

@mithro
Copy link
Collaborator

mithro commented Nov 3, 2020

What does #257 do?

@acomodi
Copy link
Contributor

acomodi commented Nov 4, 2020

@mithro it adds the test design, for now built with vivado and yosys-vivado, until we find a solution to this issue.

@HackerFoo
Copy link
Contributor

Could I get a statement whether we can currently generate a working bitstream using SymbiFlow & VPR for baselitex on nexys-video? If so, is there a working test somewhere? Thanks.

@wtatarski
Copy link
Contributor Author

wtatarski commented Nov 12, 2020

@HackerFoo At this moment generating bitstream using VPR is not possible due to issue which I mentioned in this issue.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

5 participants