Skip to content
Permalink

Comparing changes

Choose two branches to see what’s changed or to start a new pull request. If you need to, you can also or learn more about diff comparisons.

Open a pull request

Create a new pull request by comparing changes across two branches. If you need to, you can also . Learn more about diff comparisons here.
base repository: amaranth-lang/amaranth
base: aa749567e41c
Choose a base ref
...
head repository: amaranth-lang/amaranth
compare: 5a4d45b59993
Choose a head ref
  • 1 commit
  • 1 file changed
  • 1 contributor

Commits on Jan 1, 2022

  1. back.rtlil: avoid sync process emission in RTLIL.

    Avoiding emission of sync processes in RTLIL allows us to avoid a dependency on
    matching the behavior expected by Yosys, which generally expects sync processes
    in RTLIL to match those emitted by the output from its own Verilog parser.
    This also simplifies the logic used in emitting RTLIL overall.
    
    Combinatorial processes are still emitted however. Without these the RTLIL does
    not have a high-level understanding of Switch statements, which significantly
    diminishes the quality of emitted Verilog, as these are converted to `$mux`
    cells in Yosys, which become `?` constructs when converted back to Verilog.
    
    Fixes #603.
    Fixes #672.
    Lunaphied committed Jan 1, 2022
    Copy the full SHA
    5a4d45b View commit details
    Browse the repository at this point in the history