We read every piece of feedback, and take your input very seriously.
To see all available qualifiers, see our documentation.
Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.
By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.
Already on GitHub? Sign in to your account
This code fails for me:
from amaranth import Signal, Module from amaranth.sim import Simulator m = Module() s = Signal() t = Signal() m.d.sync += s.eq(0) with m.If(s): m.d.comb += t.eq(1) def process(): yield t sim = Simulator(m, engine="cxxsim") sim.add_clock(1e-6) sim.add_sync_process(process) sim.run()
Final error message is: ValueError: 4 is not a valid cxxrtl_type Full log attached
ValueError: 4 is not a valid cxxrtl_type
Tested with: Amaranth cxxsim branch (1c0cf92) Yosys 0.12+42 (git sha1 7407a7f3e, clang 7.0.1-8+deb10u2 -fPIC -Os) (master branch) Yosys 0.12+30 (git sha1 fc049e84a, clang 7.0.1-8+deb10u2 -fPIC -Os) (cxxrtl-no-reset-elided branch)
The text was updated successfully, but these errors were encountered:
Same issue with my design: https://gitlab.com/tywonemi-school-stuff/risc-je-zisk/-/tree/cxxsim
Exact error
Sorry, something went wrong.
I'll have this fixed shortly.
No branches or pull requests
This code fails for me:
Final error message is:
ValueError: 4 is not a valid cxxrtl_type
Full log attached
Tested with:
Amaranth cxxsim branch (1c0cf92)
Yosys 0.12+42 (git sha1 7407a7f3e, clang 7.0.1-8+deb10u2 -fPIC -Os) (master branch)
Yosys 0.12+30 (git sha1 fc049e84a, clang 7.0.1-8+deb10u2 -fPIC -Os) (cxxrtl-no-reset-elided branch)
The text was updated successfully, but these errors were encountered: