Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

yosys: Use of C++11 final/override keywords breaks build process #22

Closed
sylv-io opened this issue Jul 3, 2020 · 2 comments · Fixed by #23
Closed

yosys: Use of C++11 final/override keywords breaks build process #22

sylv-io opened this issue Jul 3, 2020 · 2 comments · Fixed by #23

Comments

@sylv-io
Copy link

sylv-io commented Jul 3, 2020

Since this change in yosys, it is not possible to build the plugins.

Related upstream issue: YosysHQ/yosys#2173
Related fork merge: SymbiFlow/yosys#80

@litghost
Copy link
Contributor

litghost commented Jul 6, 2020

Yep, PR opened to fix it.

@sylv-io
Copy link
Author

sylv-io commented Jul 7, 2020

great thanks 👍

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

Successfully merging a pull request may close this issue.

2 participants