Navigation Menu

Skip to content

Commit

Permalink
CI: use YoWASP instead of custom Yosys/nextpnr build scripts.
Browse files Browse the repository at this point in the history
  • Loading branch information
whitequark committed Jul 4, 2020
1 parent f885790 commit e31afc6
Showing 1 changed file with 2 additions and 10 deletions.
12 changes: 2 additions & 10 deletions .travis.yml
Expand Up @@ -7,19 +7,11 @@ python:
addons:
apt:
update: true
cache:
directories:
- "$HOME/.ccache"
- "$HOME/.local"
before_install:
- export PATH="/usr/lib/ccache:$HOME/.local/bin:$PATH"
- sudo apt install sdcc
- pip install yowasp-yosys yowasp-nextpnr-ice40-5k yowasp-nextpnr-ice40-8k
install:
- "(cd vendor/libfx2/software && SDAR='sdcclib r' python setup.py install)"
- git clone https://github.com/YosysHQ/yosys
- (cd yosys && if ! yosys -V || [ $(git rev-parse HEAD $(yosys -V | awk 'match($0,/sha1 ([0-9a-f]+)/,m) { print m[1] }') | uniq | wc -l) != 1 ]; then make CONFIG=gcc ENABLE_ABC=1 PREFIX=$HOME/.local install; fi)
- git clone https://github.com/YosysHQ/nextpnr
- (cd nextpnr && if ! nextpnr-ice40 --version || [ $(git rev-parse HEAD $(nextpnr-ice40 --version | awk 'match($0,/sha1 ([0-9a-f]+)/,m) { print m[1] }') | uniq | wc -l) != 1 ]; then sudo apt install libeigen3-dev python3-dev libboost-all-dev && (git clone https://github.com/cliffordwolf/icestorm && cd icestorm && make ICEPROG=0 PREFIX=$HOME/.local install) && mkdir build-ice40 && cd build-ice40 && cmake .. -DCMAKE_INSTALL_PREFIX=$HOME/.local -DBUILD_GUI=OFF -DARCH=ice40 -DICEBOX_ROOT=$HOME/.local/share/icebox && make install; fi)
- export YOSYS=yowasp-yosys NEXTPNR_ICE40=yowasp-nextpnr-ice40 ICEPACK=yowasp-icepack
script:
- "(cd software && python setup.py install)"
- "(cd software && python -W ignore::DeprecationWarning test.py)"

0 comments on commit e31afc6

Please sign in to comment.