Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Rename to sphinxcontrib-hdl-diagrams and allow other inputs? #48

Closed
whitequark opened this issue Jun 27, 2020 · 4 comments · Fixed by #56
Closed

Rename to sphinxcontrib-hdl-diagrams and allow other inputs? #48

whitequark opened this issue Jun 27, 2020 · 4 comments · Fixed by #56
Labels
enhancement New feature or request help wanted Extra attention is needed

Comments

@whitequark
Copy link

For example RTLIL or nMigen.

@mithro
Copy link
Member

mithro commented Jun 27, 2020

Send a pull request with an non-verilog example and we will certainly do the rename!

@whitequark
Copy link
Author

Sorry, I don't have time to work on that in close future—I'm really busy with nMigen. Do you think you can find someone else to work on this?

@mithro mithro added enhancement New feature or request help wanted Extra attention is needed labels Jun 28, 2020
@mithro
Copy link
Member

mithro commented Jun 28, 2020

@whitequark - I'd be excited to have it included but totally understand you might not have the time to do it yourself.

@daniellimws might be interested in giving it a go?

I think the primary question will be how to get the nMigen input into Yosys and then call the write_json (or other similar commands)? We use the following for the verilog;
https://github.com/SymbiFlow/sphinxcontrib-verilog-diagrams/blob/8c16b8ffab789e6928cdfb34873421f50be1d24d/sphinxcontrib_verilog_diagrams/__init__.py#L228-L233

@whitequark
Copy link
Author

I think the primary question will be how to get the nMigen input into Yosys and then call the write_json (or other similar commands)? We use the following for the verilog;

Since nMigen is already Python, it can easily provide you with RTLIL input (it's just a call to nmigen.back.rtlil.convert(...)). Then you could stuff that into your run_yosys function, it will detect the .il extension and load it as RTLIL.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
enhancement New feature or request help wanted Extra attention is needed
Projects
None yet
2 participants