Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Figure out which models Yosys can currently parse #4

Open
mithro opened this issue Jun 30, 2020 · 2 comments
Open

Figure out which models Yosys can currently parse #4

mithro opened this issue Jun 30, 2020 · 2 comments

Comments

@mithro
Copy link
Member

mithro commented Jun 30, 2020

Figure out which files (if any) that Yosys can parse.

@mglb
Copy link

mglb commented Jul 8, 2020

good: XORCY
good: VCC
good: USR_ACCESSE2
good: RAM64X1S
good: RAM64X1D
good: RAM64M8
good: RAM64M
good: RAM512X1S
good: RAM32X1S
good: RAM32X1D
good: RAM32M16
good: RAM32M
good: RAM256X1S
good: RAM256X1D
good: RAM128X1S
good: RAM128X1D
good: PLLE2_BASE
good: MUXF9
good: MUXF8
good: MUXF7
good: MUXCY
good: MMCME2_BASE
good: MASTER_JTAG
good: LUT6_2
good: LUT6
good: LUT5
good: LUT4
good: JTAG_SIME2
good: INV
good: IBUF_INTERMDISABLE
good: IBUF_IBUFDISABLE
good: IBUFDS_INTERMDISABLE_INT
good: IBUFDS_INTERMDISABLE
good: IBUFDS_IBUFDISABLE_INT
good: IBUFDS_IBUFDISABLE
good: IBUFDS_GTE2
good: IBUFDS_DIFF_OUT_INTERMDISABLE
good: IBUFDS_DIFF_OUT_IBUFDISABLE
good: IBUFDS_DIFF_OUT
good: IBUFDS
good: IBUF
good: GND
good: FRAME_ECCE4
good: EFUSE_USR
good: CAPTUREE2
good: BUFIO
good: BUFH
good: BUFG_GT_SYNC
good: BUFGP
good: BUFG
good: BUF
good: AUTOBUF
bad:  ZHOLD_DELAY                       ZHOLD_DELAY.v:62: ERROR: syntax error, unexpected TOK_REAL
bad:  XADC                              XADC.v:181: ERROR: syntax error, unexpected ';', expecting '(' or '['
bad:  VCU                               VCU.v:353: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  URAM288_BASE                      URAM288_BASE.v:215: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  URAM288                           URAM288.v:303: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  TX_BITSLICE_TRI                   TX_BITSLICE_TRI.v:114: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  TX_BITSLICE                       TX_BITSLICE.v:134: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  SYSMONE4                          SYSMONE4.v:335: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  SYSMONE1                          SYSMONE1.v:357: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  STARTUPE3                         STARTUPE3.v:70: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  STARTUPE2                         STARTUPE2.v:71: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  SRLC32E                           SRLC32E.v:68: ERROR: syntax error, unexpected TOK_ASSIGN
bad:  SRLC16E                           SRLC16E.v:70: ERROR: syntax error, unexpected TOK_ASSIGN
bad:  SRL16E                            SRL16E.v:69: ERROR: syntax error, unexpected TOK_ASSIGN
bad:  SIM_CONFIGE3                      SIM_CONFIGE3.v:93: ERROR: syntax error, unexpected ';', expecting '(' or '['
bad:  SIM_CONFIGE2                      SIM_CONFIGE2.v:97: ERROR: syntax error, unexpected ';', expecting '(' or '['
bad:  RX_BITSLICE                       RX_BITSLICE.v:150: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  RXTX_BITSLICE                     RXTX_BITSLICE.v:179: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  RIU_OR                            RIU_OR.v:79: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  RFDAC                             RFDAC.v:127: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  RFADC                             RFADC.v:133: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  RAMS64E1                          RAMS64E1.v:218: ERROR: syntax error, unexpected ','
bad:  RAMS64E                           RAMS64E.v:219: ERROR: syntax error, unexpected ','
bad:  RAMS32                            RAMS32.v:73: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  RAMD64E                           RAMD64E.v:238: ERROR: syntax error, unexpected ','
bad:  RAMD32M64                         RAMD32M64.v:76: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  RAMD32                            RAMD32.v:78: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  RAMB36E2                          RAMB36E2.v:595: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  RAMB36E1                          RAMB36E1.v:306: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  RAMB18E2                          RAMB18E2.v:419: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  RAMB18E1                          RAMB18E1.v:214: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  RAM64X8SW                         RAM64X8SW.v:305: ERROR: syntax error, unexpected ','
bad:  RAM32X16DR8                       RAM32X16DR8.v:85: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  PULLUP                            PULLUP.v:50: ERROR: syntax error, unexpected '(', expecting TOK_ID or '#'
bad:  PULLDOWN                          PULLDOWN.v:50: ERROR: syntax error, unexpected '(', expecting TOK_ID or '#'
bad:  PS8                               PS8.v:1065: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  PS7                               PS7.v:670: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  PLLE4_BASE                        PLLE4_BASE.v:136: ERROR: syntax error, unexpected ','
bad:  PLLE4_ADV                         PLLE4_ADV.v:155: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  PLLE3_BASE                        PLLE3_BASE.v:136: ERROR: syntax error, unexpected ','
bad:  PLLE3_ADV                         PLLE3_ADV.v:154: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  PLLE2_ADV                         PLLE2_ADV.v:173: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  PHY_CONTROL                       PHY_CONTROL.v:184: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  PHASER_REF                        PHASER_REF.v:77: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  PHASER_OUT_PHY                    PHASER_OUT_PHY.v:172: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  PHASER_OUT                        PHASER_OUT.v:161: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  PHASER_IN_PHY                     PHASER_IN_PHY.v:158: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  PHASER_IN                         PHASER_IN.v:147: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  PCIE_3_1                          PCIE_3_1.v:2042: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  PCIE_3_0                          PCIE_3_0.v:9529: ERROR: syntax error, unexpected '[', expecting ',' or ')'
bad:  PCIE_2_1                          PCIE_2_1.v:6353: ERROR: syntax error, unexpected '[', expecting ',' or ')'
bad:  PCIE4CE4                          PCIE4CE4.v:1944: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  PCIE40E4                          PCIE40E4.v:1808: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  OUT_FIFO                          OUT_FIFO.v:140: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  OSERDESE3                         OSERDESE3.v:100: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  OSERDESE2                         OSERDESE2.v:145: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  OSERDESE1                         OSERDESE1.v:157: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  OSERDES                           OSERDES.v:76: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  OR2L                              OR2L.v:52: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  ODELAYE3                          ODELAYE3.v:120: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  ODELAYE2_FINEDELAY                ODELAYE2_FINEDELAY.v:92: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  ODELAYE2                          ODELAYE2.v:74: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  ODDRE1                            ODDRE1.v:102: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  ODDR                              ODDR.v:79: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  OBUFT_DCIEN                       OBUFT_DCIEN.v:55: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  OBUFTDS_DCIEN                     OBUFTDS_DCIEN.v:55: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  OBUFTDS                           OBUFTDS.v:54: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  OBUFT                             OBUFT.v:56: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  OBUFDS_GTM_ADV                    OBUFDS_GTM_ADV.v:74: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  OBUFDS_GTM                        OBUFDS_GTM.v:72: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  OBUFDS_GTE4_ADV                   OBUFDS_GTE4_ADV.v:67: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  OBUFDS_GTE4                       OBUFDS_GTE4.v:66: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  OBUFDS_GTE3_ADV                   OBUFDS_GTE3_ADV.v:69: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  OBUFDS_GTE3                       OBUFDS_GTE3.v:70: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  OBUFDS_DPHY                       OBUFDS_DPHY.v:74: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  OBUFDS                            OBUFDS.v:56: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  OBUF                              OBUF.v:54: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  MMCME4_BASE                       MMCME4_BASE.v:252: ERROR: syntax error, unexpected ','
bad:  MMCME4_ADV                        MMCME4_ADV.v:361: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  MMCME3_BASE                       MMCME3_BASE.v:252: ERROR: syntax error, unexpected ','
bad:  MMCME3_ADV                        MMCME3_ADV.v:366: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  MMCME2_ADV                        MMCME2_ADV.v:446: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  LUT3                              LUT3.v:63: ERROR: syntax error, unexpected '(', expecting TOK_ID or '#'
bad:  LUT2                              LUT2.v:62: ERROR: syntax error, unexpected '(', expecting TOK_ID or '#'
bad:  LUT1                              LUT1.v:60: ERROR: syntax error, unexpected '(', expecting TOK_ID or '#'
bad:  LDPE                              LDPE.v:102: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  LDCE                              LDCE.v:102: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  KEEPER                            KEEPER.v:54: ERROR: syntax error, unexpected TOK_ID, expecting ',' or ';'
bad:  ISERDES_NODELAY                   ISERDES_NODELAY.v:83: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  ISERDESE3                         ISERDESE3.v:103: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  ISERDESE2                         ISERDESE2.v:133: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  ISERDESE1                         ISERDESE1.v:211: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  ISERDES                           ISERDES.v:139: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  IOBUF_INTERMDISABLE               IOBUF_INTERMDISABLE.v:66: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  IOBUF_DCIEN                       IOBUF_DCIEN.v:69: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  IOBUF_ANALOG                      IOBUF_ANALOG.v:80: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  IOBUFE3                           IOBUFE3.v:113: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  IOBUFDS_INTERMDISABLE             IOBUFDS_INTERMDISABLE.v:79: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  IOBUFDS_DIFF_OUT_INTERMDISABLE    IOBUFDS_DIFF_OUT_INTERMDISABLE.v:70: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  IOBUFDS_DIFF_OUT_DCIEN            IOBUFDS_DIFF_OUT_DCIEN.v:70: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  IOBUFDS_DIFF_OUT                  IOBUFDS_DIFF_OUT.v:62: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  IOBUFDS_DCIEN                     IOBUFDS_DCIEN.v:78: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  IOBUFDSE3                         IOBUFDSE3.v:121: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  IOBUFDS                           IOBUFDS.v:74: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  IOBUF                             IOBUF.v:60: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  IN_FIFO                           IN_FIFO.v:136: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  INBUF                             INBUF.v:73: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  ILKNE4                            ILKNE4.v:334: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  ILKN                              ILKN.v:333: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  IDELAYE3                          IDELAYE3.v:131: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  IDELAYE2_FINEDELAY                IDELAYE2_FINEDELAY.v:93: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  IDELAYE2                          IDELAYE2.v:75: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  IDELAYCTRL                        IDELAYCTRL.v:92: ERROR: syntax error, unexpected ';', expecting '(' or '['
bad:  IDDR_2CLK                         IDDR_2CLK.v:76: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  IDDRE1                            IDDRE1.v:82: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  IDDR                              IDDR.v:88: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  ICAPE3                            ICAPE3.v:88: ERROR: syntax error, unexpected ';', expecting '(' or '['
bad:  ICAPE2                            ICAPE2.v:88: ERROR: syntax error, unexpected ';', expecting '(' or '['
bad:  IBUF_ANALOG                       IBUF_ANALOG.v:53: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  IBUFE3                            IBUFE3.v:107: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  IBUFDS_GTM                        IBUFDS_GTM.v:76: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  IBUFDS_GTE4                       IBUFDS_GTE4.v:72: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  IBUFDS_GTE3                       IBUFDS_GTE3.v:76: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  IBUFDS_DPHY                       IBUFDS_DPHY.v:80: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  IBUFDSE3                          IBUFDSE3.v:116: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  IBUFCTRL                          IBUFCTRL.v:75: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  HSDAC                             HSDAC.v:119: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  HSADC                             HSADC.v:123: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  HPIO_VREF                         HPIO_VREF.v:64: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  HBM_TWO_STACK_INTF                HBM_TWO_STACK_INTF.v:2097: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  HBM_SNGLBLI_INTF_AXI              HBM_SNGLBLI_INTF_AXI.v:158: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  HBM_SNGLBLI_INTF_APB              HBM_SNGLBLI_INTF_APB.v:104: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  HBM_REF_CLK                       HBM_REF_CLK.v:49: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  HBM_ONE_STACK_INTF                HBM_ONE_STACK_INTF.v:1082: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  HARD_SYNC                         HARD_SYNC.v:84: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  GTYE4_COMMON                      GTYE4_COMMON.v:393: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  GTYE4_CHANNEL                     GTYE4_CHANNEL.v:1214: ERROR: syntax error, unexpected TOK_REAL
bad:  GTYE3_COMMON                      GTYE3_COMMON.v:332: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  GTYE3_CHANNEL                     GTYE3_CHANNEL.v:1498: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  GTXE2_COMMON                      GTXE2_COMMON.v:158: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  GTXE2_CHANNEL                     GTXE2_CHANNEL.v:894: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  GTPE2_COMMON                      GTPE2_COMMON.v:188: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  GTPE2_CHANNEL                     GTPE2_CHANNEL.v:954: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  GTHE4_COMMON                      GTHE4_COMMON.v:362: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  GTHE4_CHANNEL                     GTHE4_CHANNEL.v:1245: ERROR: syntax error, unexpected TOK_REAL
bad:  GTHE3_COMMON                      GTHE3_COMMON.v:320: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  GTHE3_CHANNEL                     GTHE3_CHANNEL.v:1325: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  GTHE2_COMMON                      GTHE2_COMMON.v:173: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  GTHE2_CHANNEL                     GTHE2_CHANNEL.v:1107: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  FRAME_ECCE3                       FRAME_ECCE3.v:57: ERROR: syntax error, unexpected '(', expecting TOK_ID or '#'
bad:  FRAME_ECCE2                       FRAME_ECCE2.v:180: ERROR: Can't resolve function name `\$fscanf'.
bad:  FIFO36E2                          FIFO36E2.v:221: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  FIFO36E1                          FIFO36E1.v:132: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  FIFO18E2                          FIFO18E2.v:199: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  FIFO18E1                          FIFO18E1.v:118: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  FE                                FE.v:111: ERROR: syntax error, unexpected TOK_REAL
bad:  FDSE                              FDSE.v:61: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  FDRE                              FDRE.v:61: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  FDPE                              FDPE.v:62: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  FDCE                              FDCE.v:62: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  DSP_PREADD_DATA                   DSP_PREADD_DATA.v:133: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  DSP_PREADD                        DSP_PREADD.v:60: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  DSP_OUTPUT                        DSP_OUTPUT.v:135: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  DSP_M_DATA                        DSP_M_DATA.v:80: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  DSP_MULTIPLIER                    DSP_MULTIPLIER.v:91: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  DSP_C_DATA                        DSP_C_DATA.v:77: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  DSP_A_B_DATA                      DSP_A_B_DATA.v:123: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  DSP_ALU                           DSP_ALU.v:155: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  DSP48E2                           DSP48E2.v:311: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  DSP48E1                           DSP48E1.v:129: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  DPHY_DIFFINBUF                    DPHY_DIFFINBUF.v:79: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  DNA_PORTE2                        DNA_PORTE2.v:62: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  DNA_PORT                          DNA_PORT.v:58: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  DIFFINBUF                         DIFFINBUF.v:84: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  DCM_SP                            DCM_SP.v:142: ERROR: syntax error, unexpected ';', expecting '(' or '['
bad:  DCM_ADV                           DCM_ADV.v:160: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  DCIRESET                          DCIRESET.v:48: ERROR: syntax error, unexpected ',', expecting '(' or '['
bad:  CMACE4                            CMACE4.v:650: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  CMAC                              CMAC.v:587: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  CFGLUT5                           CFGLUT5.v:70: ERROR: syntax error, unexpected TOK_ASSIGN
bad:  CARRY8                            CARRY8.v:142: ERROR: System task `$finish' outside initial block is unsupported.
bad:  CARRY4                            CARRY4.v:65: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  BUFR                              BUFR.v:74: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  BUFMRCE                           BUFMRCE.v:104: ERROR: syntax error, unexpected ','
bad:  BUFMR                             BUFMR.v:57: ERROR: syntax error, unexpected ','
bad:  BUFHCE                            BUFHCE.v:132: ERROR: syntax error, unexpected ','
bad:  BUFG_PS                           BUFG_PS.v:94: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  BUFG_GT                           BUFG_GT.v:100: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  BUFGCTRL                          BUFGCTRL.v:148: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  BUFGCE_DIV                        BUFGCE_DIV.v:119: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  BUFGCE                            BUFGCE.v:109: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  BUFCE_ROW                         BUFCE_ROW.v:79: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  BUFCE_LEAF                        BUFCE_LEAF.v:80: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  BSCANE2                           BSCANE2.v:78: ERROR: syntax error, unexpected '(', expecting TOK_ID or '#'
bad:  BITSLICE_CONTROL                  BITSLICE_CONTROL.v:187: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  BIBUF                             BIBUF.v:50: ERROR: syntax error, unexpected '=', expecting '(' or '['
bad:  AND2B1L                           AND2B1L.v:65: ERROR: syntax error, unexpected '=', expecting '(' or '['

tcl used for tests:

yosys -import
read_verilog -lib $::env(IN_VERILOG)

@mithro
Copy link
Member Author

mithro commented Jul 22, 2020

FYI the verilog/src/glbl.v file is now included in the repository.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants