Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

XC-35T simulation error. #150

Open
akshar001 opened this issue May 31, 2021 · 0 comments
Open

XC-35T simulation error. #150

akshar001 opened this issue May 31, 2021 · 0 comments

Comments

@akshar001
Copy link

akshar001 commented May 31, 2021

We are trying to run post-implemention simulation based on this.

https://symbiflow.readthedocs.io/en/latest/vtr-verilog-to-routing/doc/src/tutorials/timing_simulation/index.html

We almost have every file, from post synthesis to sdf files we are trying to simulate counter.v example. We also have changed the testbench.sv file to suitable needs. problem is when we run do tb.do in modelsim we have some kind of error.

# ** Error: (vsim-3033) Instantiation of 'CARRY4_VPR' failed. The design unit was not found.
#    Time: 0 ps  Iteration: 0  Instance: /tb/dut File: top_post_synthesis.v Line: 1344
#         Searched libraries:
#             /home/vegg/Documents/FPGA/simulation/gate_work
#             /home/vegg/Documents/FPGA/simulation/gate_work
# ** Error: (vsim-3033) Instantiation of 'CARRY_COUT_PLUG' failed. The design unit was not found.
#    Time: 0 ps  Iteration: 0  Instance: /tb/dut File: top_post_synthesis.v Line: 1366
#         Searched libraries:
#             /home/vegg/Documents/FPGA/simulation/gate_work
#             /home/vegg/Documents/FPGA/simulation/gate_work
# ** Error: (vsim-3033) Instantiation of 'CE_VCC' failed. The design unit was not found.
#    Time: 0 ps  Iteration: 0  Instance: /tb/dut File: top_post_synthesis.v Line: 1372
#         Searched libraries:
#             /home/vegg/Documents/FPGA/simulation/gate_work
#             /home/vegg/Documents/FPGA/simulation/gate_work
# ** Error: (vsim-3033) Instantiation of 'CE_VCC' failed. The design unit was not found.
#    Time: 0 ps  Iteration: 0  Instance: /tb/dut File: top_post_synthesis.v Line: 1377
#         Searched libraries:
#             /home/vegg/Documents/FPGA/simulation/gate_work
#             /home/vegg/Documents/FPGA/simulation/gate_work
# ** Error: (vsim-3033) Instantiation of 'CE_VCC' failed. The design unit was not found.
#    Time: 0 ps  Iteration: 0  Instance: /tb/dut File: top_post_synthesis.v Line: 1382
#         Searched libraries:

Now, we are using this testbench file for counter.v example.

`timescale 1ps/1ps
module tb();
localparam CLOCK_PERIOD = 8000;
localparam CLOCK_DELAY = CLOCK_PERIOD / 2;
//Simulation clock
logic sim_clk;
reg CLKT;
wire[0:3] LED;
//Instantiate the dut
top dut ( .clkT(sim_clk) , .led(LED));
//Load the SDF
initial $sdf_annotate("top_post_synthesis.sdf", dut);
//The simulation clock
initial sim_clk = '1;
always #CLOCK_DELAY sim_clk = ~sim_clk;
// //Randomized input
// always@(posedge sim_clk) begin
  
// end
endmodule

And, we are using this primitive file. Please guid us.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

1 participant