Skip to content

Commit

Permalink
LiteXXX cores: fix test_reg.py
Browse files Browse the repository at this point in the history
  • Loading branch information
enjoy-digital committed Mar 4, 2015
1 parent 60e87f6 commit 52f1c45
Show file tree
Hide file tree
Showing 3 changed files with 3 additions and 3 deletions.
2 changes: 1 addition & 1 deletion misoclib/com/liteeth/example_designs/test/test_regs.py
Expand Up @@ -4,7 +4,7 @@ def main(wb):
###
print("sysid : 0x{:04x}".format(regs.identifier_sysid.read()))
print("revision : 0x{:04x}".format(regs.identifier_revision.read()))
print("frequency : 0x{:04x}MHz".format(regs.identifier_frequency.read()/1000000))
print("frequency : 0x{:04x}MHz".format(int(regs.identifier_frequency.read()/1000000)))
SRAM_BASE = 0x02000000
wb.write(SRAM_BASE, [i for i in range(64)])
print(wb.read(SRAM_BASE, 64))
Expand Down
2 changes: 1 addition & 1 deletion misoclib/mem/litesata/example_designs/test/test_regs.py
Expand Up @@ -4,6 +4,6 @@ def main(wb):
###
print("sysid : 0x{:04x}".format(regs.identifier_sysid.read()))
print("revision : 0x{:04x}".format(regs.identifier_revision.read()))
print("frequency : 0x{:04x}MHz".format(regs.identifier_frequency.read()/1000000))
print("frequency : 0x{:04x}MHz".format(int(regs.identifier_frequency.read()/1000000)))
###
wb.close()
2 changes: 1 addition & 1 deletion misoclib/tools/litescope/example_designs/test/test_regs.py
Expand Up @@ -4,6 +4,6 @@ def main(wb):
###
print("sysid : 0x{:04x}".format(regs.identifier_sysid.read()))
print("revision : 0x{:04x}".format(regs.identifier_revision.read()))
print("frequency : 0x{:04x}MHz".format(regs.identifier_frequency.read()/1000000))
print("frequency : 0x{:04x}MHz".format(int(regs.identifier_frequency.read()/1000000)))
###
wb.close()

0 comments on commit 52f1c45

Please sign in to comment.